fabsurplus.com

List of N equipment available for sale at fabsurplus.com

The following are the items available for sale related to N at SDI fabsurplus.com. To inquire about the N equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of N items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
110804 12 Inch Complete R and D Test Laboratory for 8 and 12 inch wafers 300 mm 01.06.2005 1 as is where is immediately
111349 12 inch PARTIAL Wafer Fab Line, including 300 mm equipment from ASML, AMAT, TEL, Canon, Nikon and KLA etc. 300 mm 01.06.2010 94 as is where is immediately
106039 6 inch Complete MEMS Production Facility for Sale 4 inch and 6 inch 01.06.2005 1 as is where is immediately
109082 8 inch Complete Wafer fab Line for sale 200 mm 01.06.1998 470 as is where is immediately
110771 8 inch Complete Wafer fab Line 200 mm 01.06.2000 1 inquire immediately
108645 8 inch / 6 inch Partial Bumping Wafer Fab Line 150 mm / 200 mm 01.06.2005 79 as is where is immediately
80264 ACOPIAN B24G210 POWER SUPPLY spares 1 as is where is immediately
108615 ADIXEN ADS501 Vacuum Pump PUMP 1 as is where is
108616 ADIXEN ADS1202P Vacuum Pump PUMP 5 as is where is
108617 ADIXEN ADS1202H Vacuum Pump PUMP 26 as is where is
108618 ADIXEN ADP122LM Vacuum Pump PUMP 2 as is where is
108619 ADIXEN A101L Vacuum Pump PUMP 40 as is where is
98706 Adixen Alcatel ADS 602H Dry Vacuum pump combo Pump 01.05.2008 1 as is where is immediately
109312 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 1 as is where is
109313 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 1 as is where is
109314 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 2 as is where is
109315 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 9 as is where is
109316 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109317 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109318 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109319 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 2 as is where is
109320 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 8 as is where is
109321 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109322 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109323 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109324 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 2 as is where is
109325 Advanced Energy OVATION-35162 RF GENERATOR Spares 1 as is where is
109326 Advanced Energy OVATION2560SF RF GENERATOR Spares 1 as is where is
109327 Advanced Energy PARAMOUNT MF 3KW RF GENERATOR Spares 1 as is where is
109328 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 1 as is where is
109329 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 2 as is where is
109330 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 1 as is where is
109331 Advanced Energy PDX-1250 RF GENERATOR Spares 1 as is where is immediately
109333 Advanced Energy PDX-900-2V RF GENERATOR Spares 7 as is where is immediately
109334 Advanced Energy PDX-900-2V RF GENERATOR Spares 6 as is where is immediately
109846 Advanced Energy RFG 3000 Generator SPARES 1 as is all rebuilt immediately
109335 Advanced Energy PDX-900-2V RF GENERATOR Spares 3 as is where is immediately
109336 Advanced Energy PDX-900-2V RF GENERATOR Spares 9 as is where is immediately
109337 Advanced Energy PDX-900-2V RF GENERATOR Spares 1 as is where is immediately
109338 Advanced Energy PE-1000 RF GENERATOR Spares 1 as is where is
109339 Advanced Energy PINACLE-20K RF GENERATOR Spares 7 as is where is
109340 Advanced Energy PINACLE-20K RF GENERATOR Spares 10 as is where is
109341 Advanced Energy PINACLE-20K RF GENERATOR Spares 1 as is where is
109342 Advanced Energy RAPID-F RF GENERATOR Spares 4 as is where is
109343 Advanced Energy RAPID-F RF GENERATOR Spares 1 as is where is
109344 Advanced Energy RAPID-F RF GENERATOR Spares 11 as is where is
109345 Advanced Energy RAPID-F RF GENERATOR Spares 1 as is where is
109346 Advanced Energy RFG-3001 RF GENERATOR Spares 1 as is where is
109347 Advanced Energy VM-5000 RF GENERATOR Spares 1 as is where is
109348 Advanced Energy VM-5000 RF GENERATOR Spares 1 as is where is
109349 Advanced Energy XSTREAM-8K RF GENERATOR Spares 1 as is where is
109876 Advanced Energy RFX II 3000 Generator SPARES 1 as is all rebuilt immediately
107064 Advanced Energy AZX 63 Controller HTCM Miscellaneous Spares 1 as is where is immediately
107075 Advanced Energy Cesar 1312 RF Generator Spares 1 as is where is immediately
109637 Advanced Energy Apex 1513 Generator SPARES 1 inquire
109655 Advanced Energy AZX 10 Match SPARES 1 as is where is immediately
110680 Advanced Energy Paramount 3013 RF generators 7 as is all rebuilt immediately
107108 Advanced Energy Hilight 133 RF Generator Spares 1 as is where is immediately
107111 Advanced Energy Integro 136 RF Generator Spares 1 as is all rebuilt
107114 Advanced Energy LF-5 RF Generator Spares 1 as is where is immediately
107168 Advanced Energy PDX 2500 RF Generator Spares 1 as is where is immediately
107176 Advanced Energy Pinnacle 10k RF Generator Spares 1 as is where is
108719 ADVANCED ENERGY MDX Magnetron Drive p/n 2011-000-D spares 1 as is where is immediately
107209 Advanced Energy Pinnacle Plus 5k RF Generator Spares 1 as is where is immediately
107241 Advanced Energy RFX 600 RF Generator Spares 1 as is where is
109293 Advanced Energy APEX-1513 RF GENERATOR Spares 23 as is where is
107246 Advanced Energy RFX 600A RF Generator Spares 1 as is all rebuilt immediately
109294 Advanced Energy APEX-1513 RF GENERATOR Spares 1 as is where is
109295 Advanced Energy APEX-1513 RF GENERATOR Spares 1 as is where is
109296 Advanced Energy APEX-3013 RF GENERATOR Spares 15 as is where is
109297 Advanced Energy APEX-3013 RF GENERATOR Spares 2 as is where is
109298 Advanced Energy APEX-3013 RF GENERATOR Spares 2 as is where is
109299 Advanced Energy APEX-3013 RF GENERATOR Spares 1 as is where is
109300 Advanced Energy ATX-600 RF GENERATOR Spares 1 as is where is
109301 Advanced Energy ATX-600 RF GENERATOR Spares 1 as is where is
109302 Advanced Energy HFV-8000 RF GENERATOR Spares 1 as is where is
109303 Advanced Energy ION SOURCE SUPPLY RF GENERATOR Spares 1 as is where is
109304 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109305 Advanced Energy MDX-10K RF GENERATOR Spares 2 as is where is
109306 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109307 Advanced Energy MDX-20K RF GENERATOR Spares 1 as is where is
109308 Advanced Energy MDX-L12 RF GENERATOR Spares 1 as is where is immediately
109309 Advanced Energy MDX-L12 RF GENERATOR Spares 1 as is where is
109310 Advanced Energy MDX-L6 RF GENERATOR Spares 1 as is where is immediately
109311 Advanced Energy NAVIGATOR-10013 RF GENERATOR Spares 1 as is where is
77166 Advantech IPC-5475 data acquisition card Spares 3 as is where is immediately
77167 Advantech HK-6323 data acquisition card ISA Spares 2 as is where is immediately
77206 Advantech PCL-745B data acquisition card 2-port RS422/485 Rev B1 Spares 1 as is where is immediately
77207 Advantech PCL-730 data acquisition card 32ch TTL DIO Spares 1 as is where is immediately
74142 Advantech PCL-730 32-ch Isolated Digital I/O Card w/32ch TTL DIO 31.12.2007 2 as is where is
74143 Advantech PCL-745B 2-port RS-422/485 ISA COMM Card w/ isolation 31.12.2007 2 as is where is
108548 ADVANTEST M6751AD Test Handler 200 MM 1 as is where is
108549 ADVANTEST T5382A1 Test System TEST 1 as is where is immediately
108550 ADVANTEST T5585 Test System 200 mm 1 as is where is immediately
108551 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is
109575 ADVANTEST T5377 Automated test Equipment Test 01.06.2010 6 as is where is immediately
108552 ADVANTEST M6541AD Test Handler 200 mm 01.12.2000 1 as is where is immediately
109576 ADVANTEST T5593 Automated test Equipment Test 01.06.2004 1 as is where is immediately
108553 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is immediately
109577 ADVANTEST T5585 Automated test Equipment Test 01.06.2001 1 as is where is immediately
108554 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is
109068 Advantest M6541AD Test handler with Cold Option TEST 1 inquire immediately
108045 Advantest M6771AD TEST HANDLER TEST 1 as is where is
108048 Advantest T5371 Automated test equipment TEST 5 as is where is
108050 Advantest T5585 Automated test equipment TEST 1 as is where is
108057 Advantest T5381ES ATE Tester TEST 3 as is where is
94490 Advantest T5375 Automated Test Equipment TEST 2 as is where is immediately
108058 Advantest T5585 ATE Tester TEST 1 as is where is
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 as is where is immediately
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board Spares 5 as is where is immediately
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83502 Advantest BGR-017418 ADVANTEST T5335P PC Board HV PPS Spares 2 as is where is immediately
78639 Advantest T5335P (Spares) Spare Boards from test system (See attached list for details) TEST 01.11.1997 1 as is where is immediately
83503 Advantest BGK-017719 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83504 Advantest BGK-011702 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
99379 ADVANTEST T5375 High-Speed Flash Memory tester TEST 1 as is where is immediately
99380 ADVANTEST T5771ES Automated Test Equipment for laboratory or office use TEST 1 as is where is immediately
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) Spares 01.05.2005 1 as is where is immediately
76604 Advantest TR6846 Digital Multimeter Electronics test and measurement 1 as is where is immediately
99388 Advantest Versatest V4000 Automated Test Equipment for laboratory or office use TEST 01.06.2006 1 as is where is immediately
100668 Advantest V3300 Memory Tester TEST 1 as is where is
99389 Advantest Versatest V4000 Automated Test Equipment TEST 01.06.2006 1 as is where is immediately
99390 Advantest Versatest V4000 Automated Test Equipment TEST 01.06.2006 1 as is where is immediately
109120 Advantest V5000 Automated Test equipment TEST 01.06.2010 11 as is where is immediately
80194 Advantest BGR-020773 ADVANTEST T5335P PC Board Spares 7 as is where is immediately
80195 Advantest BGR-020853 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 as is where is immediately
80197 Advantest BGR-020774 rev x2 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80198 Advantest BGR-020814 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80199 Advantest BGR-020771 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80200 Advantest BGR-020509 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80201 Advantest BGR-020772 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80202 Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575 Spares 2 as is where is
106570 ADVANTEST T5371 Memory Tester TEST 01.06.2000 1 as is where is
80203 Advantest BGR-019486 ADVANTEST T5335P PC Board Spares 11 as is where is immediately
106571 ADVANTEST T5371 Memory Tester TEST 01.06.2000 1 as is where is
109131 Advantest T5585 Memory Tester TEST 1 as is where is
80204 Advantest BGR-020851 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
106572 ADVANTEST T5585 Memory Tester TEST 01.06.2001 1 as is where is
109132 Advantest T5585 Memory Tester TEST 1 as is where is
80205 Advantest BGR-017578 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
106573 ADVANTEST T5585 Memory Tester TEST 1 as is where is
80206 Advantest BGR-017579 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80207 Advantest BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3 Spares 6 as is where is immediately
80208 Advantest BGR-018931 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80209 Advantest BGR-016794 PGR-816794CC3 PC Board Spares 2 as is where is immediately
80210 Advantest BGR-016793 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
83550 Advantest WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P Spares 2 as is where is immediately
87652 Advantest T5371 Test system (With a single test head ) Test 1 as is where is immediately
92006 Advantest BGR-016796 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92007 Advantest BGR-018822 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92008 Advantest BGR-017418 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92009 Advantest T5335P Boards from an Advantest T5335P Test system spares 18 as is where is immediately
103812 Advantest T5335P Automated Test System test 1 as is where is immediately
80293 Advantest BGR-019267 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80294 Advantest BGR-020900 ADVANTEST T5335P PC Board Spares 4 as is where is immediately
80295 Advantest BGR-019266 ADVANTEST T5335P MRA I/F PC Board Spares 1 as is where is immediately
80296 Advantest BGR-017417 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80297 Advantest BGR-018824 Rev X03 ADVANTEST T5335P PC Board Spares 8 as is where is immediately
80298 Advantest BGR-018823 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80299 Advantest BGR-018822 ADVANTEST T5335P PC Board PGR-818822BB2 Spares 4 as is where is immediately
80300 Advantest BGR-018125 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80301 Advantest BGR-020815 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80302 Advantest BIR-021807 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
110772 Advantest M6542AD TEST HANDLER WITH COLD OPTION TEST 1 inquire immediately
108233 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108234 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108235 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108236 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108237 Advantest M6451AD TEST HANDLER TEST 01.06.2002 1 as is where is
108238 Advantest M6451AD TEST HANDLER TEST 01.06.2003 1 as is where is
108239 Advantest M6451AD TEST HANDLER TEST 01.06.2001 1 as is where is
108240 Advantest M6451AD TEST HANDLER TEST 01.06.2003 1 as is where is
108241 Advantest M6751AD TEST HANDLER TEST 01.06.2001 1 as is where is
108242 Advantest T5371 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108243 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108244 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108245 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108246 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108247 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
108248 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2002 1 as is where is
108249 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108250 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
109022 Advantest Versatest V6000 Test Engineering Workstation for Office or Laboratory Use Test 01.05.2008 1 as is where is immediately
109538 ADVANTEST 93000 PS1600 Automated Test Equipment for SOC testing TEST 01.02.2020 1 as is where is immediately
82926 Advantest WUN-H90554AIR ADVANTEST air control unit Spares 1 as is where is immediately
108993 Advantest / アドバンテスト BGR-018823 REV X03 PC BOARD FOR ADVANTEST T5335P Spares 1 immediately
109824 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109825 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109826 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109827 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109828 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109829 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109830 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109831 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109832 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109833 AE (Advanced Energy) PMH13/3000 Miscellaneous SPARES 1 inquire
109834 AE (Advanced Energy) PMH13/3000 Miscellaneous SPARES 1 inquire
109835 AE (Advanced Energy) PMH13/3000 Miscellaneous SPARES 1 inquire
109836 AE (Advanced Energy) Power Sensor Miscellaneous SPARES 1 inquire
109837 AE (Advanced Energy) RF-20 Generator SPARES 1 inquire
109838 AE (Advanced Energy) RF-30P Generator SPARES 1 inquire
109839 AE (Advanced Energy) RF-30S Generator SPARES 1 inquire
109840 AE (Advanced Energy) RF-30S Generator SPARES 1 inquire
109841 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109842 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109843 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109844 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109847 AE (Advanced Energy) RFG 3002 Generator SPARES 1 inquire
109848 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109849 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109850 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109851 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109852 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109853 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109854 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109855 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109856 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109857 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109858 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109859 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109860 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109861 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109862 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109863 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109864 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109865 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109866 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109867 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109868 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109869 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109870 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109871 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109872 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109873 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109874 AE (Advanced Energy) RFX II 1250 Generator SPARES 1 inquire
109875 AE (Advanced Energy) RFX II 3000 Generator SPARES 1 inquire
109877 AE (Advanced Energy) RFX II 3000 Generator SPARES 1 inquire
109878 AE (Advanced Energy) RFX II 3000 Generator SPARES 1 inquire
109879 AE (Advanced Energy) RFX II 3000 Generator SPARES 1 inquire
109880 AE (Advanced Energy) RFX II Controller Miscellaneous SPARES 1 inquire
109881 AE (Advanced Energy) Sparc-le 20 Power Supply SPARES 1 inquire
109882 AE (Advanced Energy) Sparc-le V Generator SPARES 1 inquire
109883 AE (Advanced Energy) Sparc-le V Generator SPARES 1 inquire
109884 AE (Advanced Energy) Sparc-le-V Generator SPARES 1 inquire
109885 AE (Advanced Energy) TCM Controller Miscellaneous SPARES 1 inquire
109630 AE (Advanced Energy) AM-20 Match SPARES 1 inquire
109886 AE (Advanced Energy) Verteq Generator SPARES 1 inquire
109631 AE (Advanced Energy) AMNPS-2A Controller Miscellaneous SPARES 1 inquire
109887 AE (Advanced Energy) Verteq Generator SPARES 1 inquire
109632 AE (Advanced Energy) AMNPS-2A Controller Miscellaneous SPARES 1 inquire
109888 AE (Advanced Energy) Verteq Generator SPARES 1 inquire
109633 AE (Advanced Energy) Analog Control Fixture Miscellaneous SPARES 1 inquire
109889 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109634 AE (Advanced Energy) Analog Control Fixture Miscellaneous SPARES 1 inquire
109890 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109635 AE (Advanced Energy) Analog Control Fixture Miscellaneous SPARES 1 inquire
109891 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109636 AE (Advanced Energy) Analog Control Fixture Miscellaneous SPARES 1 inquire
109892 AE (Advanced Energy) VM 1000 A Match SPARES 1 inquire
109893 AE (Advanced Energy) Z Scan Miscellaneous SPARES 1 inquire
109638 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109639 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109640 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109641 AE (Advanced Energy) Apex 3013 Generator SPARES 1 inquire
109642 AE (Advanced Energy) Apex 3013 Generator SPARES 1 inquire
109644 AE (Advanced Energy) Aspect 2513 Generator SPARES 1 inquire
109645 AE (Advanced Energy) Aspect 2513 Generator SPARES 1 inquire
109646 AE (Advanced Energy) Aspect 5013 Generator SPARES 1 inquire
109647 AE (Advanced Energy) ATN Match Match SPARES 1 inquire
109648 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109649 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109650 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109651 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109652 AE (Advanced Energy) ATX 600 Controller Miscellaneous SPARES 1 inquire
109653 AE (Advanced Energy) AZX 10 Match SPARES 1 inquire
109654 AE (Advanced Energy) AZX 10 Match SPARES 1 inquire
109656 AE (Advanced Energy) AZX 10 Controller Miscellaneous SPARES 1 inquire
109657 AE (Advanced Energy) AZX 63 Bias Match SPARES 1 inquire
109658 AE (Advanced Energy) AZX 63 Bias Match SPARES 1 inquire
109659 AE (Advanced Energy) AZX 63 Controller HTCM Miscellaneous SPARES 1 inquire
109660 AE (Advanced Energy) AZX 63 Controller HTCM Miscellaneous SPARES 1 inquire
109661 AE (Advanced Energy) AZX Box Module Match SPARES 1 inquire
109662 AE (Advanced Energy) AZX Controller Miscellaneous SPARES 1 inquire
109663 AE (Advanced Energy) AZX Controller Miscellaneous SPARES 1 inquire
109664 AE (Advanced Energy) AZX Controller Miscellaneous SPARES 1 inquire
109665 AE (Advanced Energy) AZX Controller Miscellaneous SPARES 1 inquire
109666 AE (Advanced Energy) Cesar Generator SPARES 1 inquire
109667 AE (Advanced Energy) Cesar 0230 Generator SPARES 1 inquire
109668 AE (Advanced Energy) Cesar 1310 Generator SPARES 1 inquire
109669 AE (Advanced Energy) Cesar 1312 Generator SPARES 1 inquire
109670 AE (Advanced Energy) Cesar 1312 Generator SPARES 1 inquire
109671 AE (Advanced Energy) Cesar 136 Generator SPARES 1 inquire
109672 AE (Advanced Energy) Cesar 136 Generator SPARES 1 inquire
109673 AE (Advanced Energy) Cesar 2710 Generator SPARES 1 inquire
109674 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109675 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109676 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109677 AE (Advanced Energy) Controller Miscellaneous SPARES 1 inquire
109678 AE (Advanced Energy) Counter/Display Match SPARES 1 inquire
109679 AE (Advanced Energy) Dome Match Match SPARES 1 inquire
109680 AE (Advanced Energy) Dome Match Match SPARES 1 inquire
109681 AE (Advanced Energy) Dome Match Match SPARES 1 inquire
109682 AE (Advanced Energy) Dome Match Match SPARES 1 inquire
109683 AE (Advanced Energy) Fixed Match Match SPARES 1 inquire
109684 AE (Advanced Energy) Fixed Match Match SPARES 1 inquire
109685 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109686 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109687 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109688 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109689 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109690 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109691 AE (Advanced Energy) GenCal Power Sensor Miscellaneous SPARES 1 inquire
109692 AE (Advanced Energy) GenCal Power Sensor Miscellaneous SPARES 1 inquire
109693 AE (Advanced Energy) GenCal Power Sensor Miscellaneous SPARES 1 inquire
109694 AE (Advanced Energy) HFG 5000 Generator SPARES 1 inquire
109695 AE (Advanced Energy) HFV 8000 Generator SPARES 1 inquire
109696 AE (Advanced Energy) HFV 8000 Generator SPARES 1 inquire
109697 AE (Advanced Energy) HFV 8000 Generator SPARES 1 inquire
109698 AE (Advanced Energy) HFV 8000 Generator SPARES 1 inquire
109699 AE (Advanced Energy) Hilight 133 Generator SPARES 1 inquire
109700 AE (Advanced Energy) Hilight 133 Generator SPARES 1 inquire
109701 AE (Advanced Energy) Hilight 133 Generator SPARES 1 inquire
109702 AE (Advanced Energy) Hilight 133 Generator SPARES 1 inquire
109703 AE (Advanced Energy) Hilight 136 Generator SPARES 1 inquire
109704 AE (Advanced Energy) Hilight 136 Generator SPARES 1 inquire
109705 AE (Advanced Energy) ION Source Supply Generator SPARES 1 inquire
109706 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109707 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109708 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109709 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109710 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109711 AE (Advanced Energy) MDX 1.5 Generator SPARES 1 inquire
109712 AE (Advanced Energy) MDX 1.5K Generator SPARES 1 inquire
109713 AE (Advanced Energy) MDX 1.5K Generator SPARES 1 inquire
109714 AE (Advanced Energy) MDX 10K Generator SPARES 1 inquire
109715 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109716 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109717 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109718 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109719 AE (Advanced Energy) MDX Controller Miscellaneous SPARES 1 inquire
109720 AE (Advanced Energy) MDX DELTA SLAVE Generator SPARES 1 inquire
109721 AE (Advanced Energy) MDX II Calibration Box Miscellaneous SPARES 1 inquire
109722 AE (Advanced Energy) MDX Magnetron Generator SPARES 1 inquire
109723 AE (Advanced Energy) MDX Magnetron Drive Generator SPARES 1 inquire
109724 AE (Advanced Energy) MDX Master Generator SPARES 1 inquire
109725 AE (Advanced Energy) MDX Slave Generator SPARES 1 inquire
109726 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109727 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109728 AE (Advanced Energy) MDX-10K Slave Generator SPARES 1 inquire
109729 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109730 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109731 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109732 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109733 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109734 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109735 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109736 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109737 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109738 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109739 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109740 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109741 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109742 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109743 AE (Advanced Energy) Mercury d2 Match SPARES 1 inquire
109744 AE (Advanced Energy) Mini-Panel Miscellaneous SPARES 1 inquire
109745 AE (Advanced Energy) Mini-Panel Miscellaneous SPARES 1 inquire
109746 AE (Advanced Energy) Navigator Match SPARES 1 inquire
109747 AE (Advanced Energy) Navigator 10013-L80 Match SPARES 1 inquire
109748 AE (Advanced Energy) Navigator Match Match SPARES 1 inquire
109749 AE (Advanced Energy) Navigator Match Match SPARES 1 inquire
109750 AE (Advanced Energy) Ovation 2060 Generator SPARES 1 inquire
109751 AE (Advanced Energy) Ovation 2760 Generator SPARES 1 inquire
109752 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109753 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109754 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109755 AE (Advanced Energy) Paramount 3013 Generator SPARES 1 inquire
109756 AE (Advanced Energy) Paramount 3013 Generator SPARES 1 inquire
109757 AE (Advanced Energy) PDW 2200 Generator SPARES 1 inquire
109758 AE (Advanced Energy) PDW 600 Generator SPARES 1 inquire
109759 AE (Advanced Energy) PDW 600 Generator SPARES 1 inquire
109760 AE (Advanced Energy) PDW Controller Miscellaneous SPARES 1 inquire
109761 AE (Advanced Energy) PDW Controller Miscellaneous SPARES 1 inquire
109762 AE (Advanced Energy) PDW Controller Miscellaneous SPARES 1 inquire
109763 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109764 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109765 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109766 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109767 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109768 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109769 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109770 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109771 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109772 AE (Advanced Energy) PDX II PLASMA DRIVE 2000 Generator SPARES 1 inquire
109773 AE (Advanced Energy) PE-1000 Generator SPARES 1 inquire
109774 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109775 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109776 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109777 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109778 AE (Advanced Energy) PEII 5K Generator SPARES 1 inquire
109779 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109780 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109781 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109782 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109783 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109784 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109785 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109786 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109787 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109788 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109789 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109790 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109791 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109792 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109793 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109794 AE (Advanced Energy) Pinnacle 15k Generator SPARES 1 inquire
109795 AE (Advanced Energy) Pinnacle 15k Generator SPARES 1 inquire
109796 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109797 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109798 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109799 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109800 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109801 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109802 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109803 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109804 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109805 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109806 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109807 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109808 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109809 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109810 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109811 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109812 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109813 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109814 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109815 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109816 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109817 AE (Advanced Energy) Pinnacle Dual 6k Generator SPARES 1 inquire
109818 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109819 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109820 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109821 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109822 AE (Advanced Energy) Pinnacle Plus 5k Generator SPARES 1 inquire
109823 AE (Advanced Energy) PMH 13/3000 Miscellaneous SPARES 1 inquire
108795 AET TECHNOLOGIES Four de Recuit Rapide RTP Tool 1 inquire
108555 Agilent 16702A LOGIC ANALISYS SYSYEM TEST 1 as is where is immediately
109894 Agilent Multimeter Miscellaneous SPARES 1 inquire
109895 Agilent Multimeter Miscellaneous SPARES 1 inquire
109896 Agilent Multimeter Miscellaneous SPARES 1 inquire
101035 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.03.2008 1 as is where is immediately
101036 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.05.2008 1 as is where is immediately
18870 AGILENT 03577-90212 AGILENT 3571A network analyzer service manual 1 as is where is
53031 Agilent / HP / Verigy GPIB IEEE488 Cable GPIB IEEE488 Cable spares 1 as is where is immediately
108897 Agilent / Verigy 4073B PARAMETRIC TEST SYSTEM TEST 01.05.2011 1 as is where is immediately
108899 Agilent / Verigy 4073A PARAMETRIC TEST SYSTEM TEST 01.05.2001 1 as is where is
10544 Agilent / Verigy / Keysight 4261A LCR METER TEST 1 as is where is immediately
76605 Agilent / Verigy / Keysight 41501B SMU and Pulse Generator Expander 2 units TEST 01.05.2001 1 as is where is immediately
18868 Agilent / Verigy / Keysight 5335A Universal Frequency Counter Electronics Test and Measurement 1 as is where is immediately
18869 Agilent / Verigy / Keysight E4915A Crystal impedance LCR meter Electronics Test and Measurement 1 as is where is immediately
79588 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2007 1 as is where is immediately
79589 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2008 1 as is where is immediately
109897 AGL Inc Microwave Generator Generator SPARES 1 inquire
108721 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof FACILITIES 1 as is where is immediately
109350 AIXCON Y2000 RF GENERATOR Spares 1 as is where is
109351 AIXCON Y2000 RF GENERATOR Spares 1 as is where is
111368 Aixtron G3 2600 Reactor 01.01.2007 1 as is where is immediately
108709 Akrion Goldfinger Velocity 4 Single wafer cleaning system 300 MM 01.06.2007 1 inquire immediately
108722 AKRION V2-SA.3200 Wet Process Station Including Tanks 150 mm 01.12.1996 1 as is where is immediately
108723 AKRION V2-HL.2000 Acid Wet Bench 150 mm 1 inquire immediately
108724 Alcan Tech/Canon MAS-8000 Microwave Ashing System 200 mm 1 as is where is immediately
109574 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 118 as is where is immediately
110754 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 5 as is where is immediately
77093 Allen Bradley 800F-PN3GX11 Contact module 24V, AMI S3320-15-1, pk of 3 1 as is where is
83564 Allen Bradley PanelView Plus 400 Operator Panel, Serial 1 as is where is immediately
83593 Allen Bradley Micro-Logix 1200 PLC Module 1 as is where is immediately
83595 Allen Bradley 1762-IF4 PLC 4-ch input module 1 as is where is immediately
109898 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109899 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109900 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109901 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109902 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109903 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109904 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109905 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109906 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109907 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
84241 Anaheim Automation TM4500 AA4520 Stepper controller/driver board Spares 1 as is where is immediately
77162 Anaheim Automation 23D306S Stepper motor 3.4VDC 2.9A Spares 1 as is where is immediately
79884 ANDO AF9723 Eprom Gang programmer w/ RAM expansion Electronics Test and Measurement 01.01.1995 1 as is where is immediately
109070 Andre Deluxe Near Field Detection Receiver 0 as is where is immediately
84221 ANELVA 954-7700 Vacuum Feedthrough Spares 1 as is where is immediately
2669 Angelantoni T600 TU5 Large Clean-room Oven with internal blowers FACILITIES 01.07.1995 1 as is where is immediately
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers FACILITIES 01.05.1995 1 inquire immediately
77184 Athena 16C-B-S-0-23-00 Temperature / Process controller 01.02.2012 1 as is where is immediately
109370 AXICON Y2000 RF GENERATOR Spares 1 as is where is
109371 AXICON Y2000 RF GENERATOR Spares 1 as is where is
100888 Baccini Furnace 3 Drying Furnace Solar 31.05.2008 1 as is where is immediately
56142 Baccini Screen printers Printers and Dryers 156 mm 01.06.2001 1 as is where is immediately
56145 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 156mm 01.06.2006 1 as is where is immediately
56146 Baccini Sorter 1 Cell Sorter 1 156 mm 01.06.2006 1 as is where is immediately
98708 Baccini Furnace 1 Drying Furnace Solar 31.05.2008 1 as is where is immediately
98709 Baccini Furnace 2 Drying Furnace Solar 31.05.2008 1 as is where is
98710 Baccini Test 1 Solar Cell Inspection Solar 31.05.2008 1 as is where is immediately
98711 Baccini Test 2 Icos Solar Cell Inspection Solar 31.05.2008 1 as is where is immediately
98712 Baccini Test 3 Automatic Cell Sorter Solar 31.05.2008 1 as is where is immediately
98713 Baccini Wafer Boats Spares for Baccini solar cell manufacturing line Solar 01.05.2008 100 as is where is immediately
98715 Baccini Printer 1 Screen Printer Solar 31.05.2008 1 as is where is immediately
98716 Baccini Printer 2 Screen Printer Solar 31.05.2008 1 as is where is immediately
98717 Baccini Printer 3 Screen Printer Solar 31.05.2008 1 as is where is immediately
108703 Baccini Softline Screen printer Solar 01.06.2009 1 inquire immediately
77009 Baccini Screen Printer 2 screen printer 156 mm 31.05.2001 1 as is where is immediately
77010 Baccini Screen Printer 3 screen printer 156 mm 31.10.2001 1 as is where is immediately
77012 Baccini Screen Printer 1 screen printer 156 mm 01.11.2001 1 as is where is immediately
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 156 mm 31.05.2007 1 as is where is immediately
77017 Baccini Cell electrical tester Electrical Cell tester 156 mm 31.05.2006 1 as is where is immediately
77018 Baccini Sorter 2 Cell Sorter 2 156 mm 01.06.2006 1 as is where is immediately
77019 Baccini Sorter - Furnace Output Cell Sorter - Furnace output 156 mm 01.06.2006 1 as is where is immediately
77020 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 156 mm 01.06.2006 1 as is where is immediately
103388 Baccini Oven 3 Unload Buffer FFF Unload buffer unit from Oven 3 and FFF loader Solar 31.05.2008 1 as is where is immediately
77021 Baccini Dryer 1 Dryer 1 156 mm 31.10.2001 1 as is where is immediately
77022 Baccini Dryer 2 Dryer 1 156 mm 31.10.2001 1 as is where is immediately
111381 Beijing Sevenstar Huachuang Electronics Co .,Ltd ,China L42500-4/ZM (SPARES) Quartz boat for Two Tube horizontal PECVD 156mm 01.06.2010 2 as is fob immediately
84379 BELDEN 8219 IC20 BRAID R-58A/U 1 as is where is immediately
77169 Bimba Ultran US-1737.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
77170 Bimba Ultran US-1734.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
110597 Binder FDL 115 Safety Drying Chamber for solvent, RT up to +300°C, Volume inside 115 Liter Facilities 1 as is where is immediately
108803 BOONTON 72B Capacitance Meter SPARES 1 inquire
108804 BRANSON/IPC Series 4000 Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center 1 inquire
108738 BRANSON/IPC 4055/2 Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available 1 as is where is
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 2 as is where is immediately
103822 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 1 inquire immediately
108740 BROOKS AUTOMATION ATM-305 3 Axis Robot, Refurbished spares 1 as is where is immediately
110790 Cadence Protium S1 Hardware Emulation System 1 as is where is immediately
80256 CAJON SS-4-VC0 FITTINGS 13 as is where is immediately
80258 CAJON SS-8-VC0-4 FITTINGS 5 as is where is immediately
53020 CANON Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
53021 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
110623 Canon FPA-5510iX I line stepper 300 mm 1 as is where is
53023 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
109090 Canon BG3-3822 DMP Pre-amp PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is 2 weeks
109091 Canon BG3-1045 REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
106020 Canon BG3-3868-000 MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+ Spares 01.06.1999 1 as is where is immediately
109092 Canon BG5-1262 MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
84774 Canon Chuck, 8 inch Wafer Chuck for EX3, EX4, i4, i5 200 mm 30.04.1999 1 as is where is immediately
109094 CANON BG3-3831 RT-DSP PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is 2 weeks
52262 Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
53032 Canon Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
52265 Canon video impedance adapter 5 channel video impedance adapter spares 30.06.1999 1 as is where is immediately
109098 Canon BY8-6168-4E0 Canon FPA3000 EX3 Command Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109099 Canon BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide Manual 01.06.1996 1 as is where is immediately
99884 Canon FPA-5000 ES3 Spare Parts 300 mm 1 as is where is immediately
109100 Canon BY8-6168-1E0 Canon FPA3000 EX3 Operation Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109101 Canon BY8-6168-2E0 Canon FPA3000 EX3 Parameter Guide 1 - operational manual Manual 01.06.1996 1 as is where is immediately
109102 Canon BY8-6168-3E0 Canon FPA3000 EX3 Parameter Guide 2 - operational manual Manual 01.06.1996 1 as is where is immediately
109103 Canon BY8-6168-5E0 Canon FPA3000 EX3 Reticle Guide2 - operational manual Manual 01.06.1996 1 as is where is immediately
109105 Canon FPA 5500 iZ i-line stepper 300 mm 01.06.2001 1 as is where is immediately
53041 Canon spares Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53042 Canon FPA 3000 series Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53045 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 3 as is where is immediately
53046 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53047 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53049 Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 31.05.1999 1 as is where is immediately
53050 Canon BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
103739 CANON BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS Spares 31.10.1996 1 as is where is immediately
103741 CANON ROCKY KS-112 SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS SPARES 31.10.1996 1 as is where is immediately
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series spares 1 as is where is immediately
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 spares 30.06.1999 1 as is where is immediately
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS spares 30.06.1999 1 as is where is immediately
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board spares 01.06.1999 1 as is where is immediately
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series spares 30.06.1995 1 as is where is immediately
53062 Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53063 Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa spares 30.06.1999 1 as is where is immediately
53066 Canon BG4-6365 PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 spares 30.04.1997 1 as is where is immediately
53074 Canon FPA 3000 series extended reticle library extended reticle library 6 inch 01.06.1998 1 as is where is immediately
109163 Canon FPA-5500 iZa i-Line Wide-Field Stepper 300mm 1 as is where is
52338 Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52341 Canon BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52342 Canon BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
108919 Canon FPA-6000 ES5 248 nm (KrF) excimer exposure system 300 mm 01.05.2003 1 as is where is immediately
103800 Canon BG3-7842 STG CD4 PCB, for Canon FPA5000 ES3 scanner Spares 1 as is where is immediately
80249 CANON BG4-7001 BH8-1837-01 PCB 1 as is where is immediately
52346 Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52347 Canon BH8-1938-01BG4-9386 BG8-2694 CD90-CD PCB assembly spares 1 as is where is immediately
103804 Canon BG4-6466-000 ZMI 1000 A Board (Y) Spares 1 immediately
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
80253 Canon FPA1550 MK4 (Spares) Targetting lenses for Canon Stepper qty 2 SPARES 31.05.1995 2 as is where is immediately
52360 Canon Zenith ZPS-250 Multiple voltage power supply 250Watts spares 30.06.1999 1 as is where is immediately
52365 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 2 as is where is immediately
52366 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52367 Canon Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
103826 Canon BG3-2428-000 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4) Spares 01.05.2000 1 inquire immediately
108946 CANON FPA-5000 ES3 (Spare Parts) Spare Parts for Canon ES series DUV scanners Spares 12 as is where is immediately
52379 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52380 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52381 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52382 CANON FUJITU DENSO 4247-E924 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
52384 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
108968 Canon FPA3000 EX3/ i5 (Spares) Masking Blade Assembly Spares 01.06.1998 1 as is where is immediately
102059 Canon FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 Various Spare Parts and lenses for Canon FPA-3000 Series spares 01.05.1998 27 as is where is immediately
105904 Canon BG3-3822 DMP-PREAMP PCB Spares 01.05.2000 1 as is where is immediately
105905 Canon BG3-3823 DMP-DSP PCB Spares 01.05.2000 1 as is where is immediately
52153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 200 mm 30.04.1999 1 as is where is immediately
84412 CANON FPA 1550 Mark IV (Spares) Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4 150 mm 1 as is where is immediately
95427 CANON FPA2500i3 i-Line Stepper 150 mm 01.05.1995 1 inquire immediately
95428 Canon FPA-2500i2 i Line Stepper 150mm 1 as is where is immediately
109020 Canon FPA 5000 ES3 KrF Scanner (Scanner) 200 mm 01.05.2001 1 as is where is immediately
106205 Canon FPA3000 EX3 (Spares) Complete Illuminator Assembly Spares 01.06.1998 1 as is where is immediately
52446 Canon Fujitsu Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52447 CANON Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 01.06.1999 1 as is where is immediately
52448 CANON FUJITSU DENSO 4248-E922 2 channels power supply module for canon fpa 3000 series spares 30.06.1999 1 as is where is immediately
52450 Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
106229 Canon BG4-8595 FPA 3000 series, iA scope objective lens unit, RIGHT Spares 01.06.1998 1 as is where is immediately
106230 Canon BG4-8594 FPA 3000 SERIES iA scope objective lens unit, Left Spares 01.06.1998 1 as is where is immediately
106231 Canon BG4-85?? FPA 3000 series iA scope relay lens unit, Right Spares 01.06.1998 1 as is where is immediately
106232 Canon BG4-8599 FPA 3000 series iA scope shutter unit Spares 01.06.1998 1 as is where is immediately
106233 Canon FPA 3000 EX3/4/5/6 (Spares) FPA 3000 EX series iA scope lens unit Spares 01.06.1998 1 as is where is immediately
106234 Canon BG4-8601 Electro-Optical part for FPA 3000 EX series iA scope Spares 01.06.1998 1 as is where is immediately
105979 Canon BG4-6736 Reticle handling robot for ES2 ES3 ES4 i5 etc Spares 01.05.2000 1 as is where is 1 month
106235 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Left) Spares 01.06.1998 1 as is where is immediately
106236 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Right) Spares 01.06.1998 1 as is where is immediately
106237 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Issoku FG199 lead screw Spares 01.06.1998 1 as is where is immediately
106238 Canon BG4-8597 Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 Spares 01.06.1998 1 as is where is immediately
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer Solar 01.05.2001 1 as is where is immediately
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 156 mm 01.05.2003 1 as is where is immediately
103801 Centrotherm DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) Solar 01.05.2008 1 as is where is immediately
77190 Centrotherm Quartz Door Centrotherm/semco(?) Quartz Door, new 156mm 1 as is where is immediately
98721 Centrotherm E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping Solar 01.05.2008 1 as is where is immediately
98722 Centrotherm E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD Up to 300 mm 01.05.2008 1 as is where is immediately
98725 Centrotherm Loader Furnace Loader Solar 31.05.2008 1 as is where is immediately
83870 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 0 as is where is immediately
83871 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 1 as is where is immediately
21122 CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE FACILITIES 1 as is where is immediately
82179 Concoa 806 6530 Singe stage Oxygen regulator valve w/ guage 1 as is where is immediately
84021 Condor B24-1.2 dc power supply 1 as is where is immediately
21665 CONDOR HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN SPARES 31.01.1996 1 as is where is immediately
108741 Contamination Control Desiccator boxes, 10ea Available Facilities 10 as is where is immediately
99395 Convac CBA-M-2000-U Photoresist coater 01.01.1995 1 as is where is immediately
108742 CR TECHNOLOGY UF160-0 Xray System 1 as is where is
109533 Creative Design Engineering (CDE) ResMap 178 Resistivity Mapping System Up to 200 mm 01.06.2012 1 as is where is immediately
78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM test 1 as is where is immediately
87089 Credence Personal Kalos I Test system TEST 01.10.2002 1 as is where is immediately
84278 Credence 671-4394-01 Test head connector board Spares 01.01.1999 2 as is where is immediately
84279 Credence 671-4270-02 Capture Processor board 1A Spares 01.01.1999 1 as is where is immediately
84280 Credence 671-4394-04 Test head connector board Spares 01.01.1999 1 as is where is immediately
84281 Credence 672-6051-01 Support Module Interface 01.01.1999 1 as is where is immediately
80211 Credence Duo SX (Spare Parts) Credence Duo SX Main PC Spares 01.01.1999 2 as is where is immediately
83559 Credence 671-4276-50 PIN card FOR CREDENCE DUO SX TESTER Spares 01.01.1999 3 as is where is immediately
83819 Credence 671-4359-01 DPAC Parallel Pattern Memory PCB Spares 01.01.1999 1 as is where is immediately
84099 Credence 671-1376-02 Analog Power Monitor PCB 584-02 Spares 01.07.1999 1 as is where is immediately
80269 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD Spares 01.07.1999 1 as is where is immediately
80270 Credence 671-4331-01 DUO SX ROM Sequencer PCB SPARES 01.07.1999 1 as is where is immediately
80271 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80272 Credence 671-0693-04 Duo SX DMA2 Controller PCB spares 01.05.1999 1 as is where is immediately
80273 Credence 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80274 Credence 671-4098-952707 DUO SX Master Clock Dist. 01.10.1999 1 as is where is immediately
81829 Credence 202-2000-00 Credence Duo SX controller 01.06.1999 1 as is where is immediately
106924 Credence 671-4359-00 REV 3 DPAC Parallel Pattern Memory SPARES 01.07.1999 18 as is where is immediately
106925 Credence 671-4177-04 Support Module Interface PCB spares 01.08.1998 2 as is where is immediately
106926 Credence 671-4351-00 rev A Intersegment Communications Connector Spares 01.01.1999 1 as is where is immediately
83631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual MANUAL 01.01.1997 1 as is where is immediately
106927 Credence 671-2119-00 Analog Support Air flow / Bus Grant Spares 8 as is where is immediately
106928 Credence 671-4270-02 REV A Capture Processor PCB 1A Spares 01.01.1999 2 as is where is immediately
106929 Credence 671-4270-03 rev A Capture Processor 1a pcb Spares 01.01.1999 2 as is where is immediately
106930 Credence 671-4155-05 Analog Support Module VHF PCB Spares 01.06.1999 1 as is where is
106931 Credence 671-4098-952707 DUO SX Master Clock Dist. PCB spares 01.10.1999 1 as is where is immediately
106932 Credence 671-4283-01 REV 4 Duo SX ROM Sequencer TPI/PD PCB SPARES 01.07.1999 1 as is where is immediately
106933 Credence 671-4331-01 DUO SX ROM Sequencer PCB Spares 01.07.1999 1 as is where is immediately
106934 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80311 Credence 671-4127-00 Scan Memory 01.01.1999 15 as is where is immediately
106935 Credence 671-0693-04 Duo SX DMA2 Controller PCB Spares 01.05.1999 2 as is where is immediately
80312 Credence 671-4359-00 DPAC Parallel Pattern Memory Spares 01.01.1999 35 as is where is immediately
106936 Credence 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80313 Credence 672-4359-00 DPAC Parallel Pattern Memory 01.01.1999 1 as is where is
106937 Credence 671-0951-04 DUO SX Analog I/O Board Spares 01.01.1999 3 as is where is immediately
80314 Credence 672-6051-03 Support Module Interface PCB for a Credence Duo tester Spares 01.01.1999 4 as is where is immediately
106938 Credence 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER Spares 01.01.1999 4 as is where is immediately
106939 Credence 671-4394-05 REV A Test head connector PCB Spares 01.11.2005 1 as is where is immediately
80316 Credence 671-4270-03 Capture Processor 01.01.1999 2 as is where is immediately
106940 Credence 672-6051-01 Support Module Interface tpi / td pcb Spares 01.07.1999 1 as is where is immediately
80317 Credence 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester Spares 7 as is where is immediately
80318 Credence 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester Spares 01.06.1997 1 as is where is immediately
80320 Credence 671-4351-00 Intersegment Communications Connector 01.01.1999 1 as is where is immediately
81864 Credence 671-4098-02 DUO SX Master Clock Dist. PCB Spares 01.02.1999 1 as is where is immediately
81866 Credence 671-4635-01 Testhead connector PCB board, from a Credence Duo SX test system Spares 01.02.2007 1 as is where is immediately
82168 Credence 671-1461-04 Intersegment communications board Spares 01.07.1999 1 as is where is immediately
109081 CTI Cryogenics 9600 CRYOGENIC COMPRESSOR Facilities 01.06.2015 1 as is where is immediately
54562 CTI cryogenics CRYOTORR-100 Cryopump PUMP 31.05.1997 1 as is where is immediately
94583 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is
94584 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94585 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94586 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94587 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94588 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is immediately
94589 CTI Cryogenics 8116036 G 002 Pump On Board PUMP 1 as is where is
94590 CTI Cryogenics 80182 G 001 Torr Pump PUMP 1 as is where is
110749 CTI Cryogenics 8033168 High torr vacuum pump PUMP 1 as is where is immediately
69855 CTI Cryogenics Cryotorr 100 Cryopump pump 31.05.1997 1 as is where is immediately
4259 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4260 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL Spares 01.01.1970 1 as is where is
4265 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4266 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL Spares 01.01.1970 1 as is where is
109044 CVD Equipment Corporation Easy Tube 3000 Growth Equipment 06.01.2009 2 as is where is
109390 DAIHEN AGA-50B2 RF GENERATOR Spares 3 as is where is
109391 DAIHEN AMN-30F-V RF GENERATOR Spares 1 as is where is
109392 DAIHEN AMN-50B2A RF GENERATOR Spares 3 as is where is
109393 DAIHEN AMN-50F RF GENERATOR Spares 8 as is where is
109394 DAIHEN ATM-30A RF GENERATOR Spares 1 as is where is
109395 DAIHEN ATP-15B RF GENERATOR Spares 2 as is where is
109396 DAIHEN DGP-120A2 RF GENERATOR Spares 2 as is where is
109397 DAIHEN FGA-30A2 RF GENERATOR Spares 16 as is where is
109398 DAIHEN FGA-30C RF GENERATOR Spares 1 as is where is
109399 DAIHEN FGA-30C RF GENERATOR Spares 20 as is where is
109400 DAIHEN FRM-30A RF GENERATOR Spares 9 as is where is
109401 DAIHEN FRM-30A RF GENERATOR Spares 6 as is where is
109402 DAIHEN FTM-80A1 RF GENERATOR Spares 18 as is where is
109403 DAIHEN MFG-20SA3 RF GENERATOR Spares 2 as is where is
109404 DAIHEN MFT-20SB RF GENERATOR Spares 1 as is where is
109405 DAIHEN NX-HGA-30B RF GENERATOR Spares 3 as is where is
109406 DAIHEN NX-HRM-30B RF GENERATOR Spares 1 as is where is
109407 DAIHEN NX-HRM-30B4 RF GENERATOR Spares 1 as is where is
109408 DAIHEN NX-PLB-01 RF GENERATOR Spares 3 as is where is
109409 DAIHEN NX-RGA-10G RF GENERATOR Spares 3 as is where is
109410 DAIHEN NX-WMN-50G RF GENERATOR Spares 2 as is where is
109411 DAIHEN RMN-50M RF GENERATOR Spares 2 as is where is
109412 DAIHEN RTGA-30A1 RF GENERATOR Spares 16 as is where is
109413 DAIHEN WGA-50E RF GENERATOR Spares 1 as is where is
109414 DAIHEN WGA-50E RF GENERATOR Spares 7 as is where is
109415 DAIHEN WGA-50E1 RF GENERATOR Spares 1 as is where is
109416 DAIHEN WMN-50C6A RF GENERATOR Spares 2 as is where is
110038 Daihen Daihen Match Match SPARES 1 as is where is immediately
110039 Daihen Daihen Match Match SPARES 1 as is where is immediately
110040 Daihen Dome Match Match SPARES 1 inquire
110041 Daihen MFM 20SA Match SPARES 1 inquire
110042 Daihen NGA-30C Generator SPARES 1 inquire
110043 DAIHEN NMN-20A1 Match SPARES 1 inquire
110044 Daihen WGA-20A Generator SPARES 1 inquire
110045 DAIHEN WMN-25 Miscellaneous SPARES 1 inquire
91136 Daitron CVP-320 Wafer Edge Grinder 300 mm 01.11.2008 1 as is where is immediately
110720 Datacon 2200 apm MultiChip Die Bonder 300 MM 01.06.2007 1 as is where is immediately
106482 Datacon CS1250 Die Pick and Sort to tape reel 150 mm/200 mm/300 MM 1 as is where is immediately
80176 Dayton 4C446 Blower motor 115v 01.01.2012 1 as is where is immediately
109032 DDM Novastar 1800HT Reflow Oven SMT 1 as is where is immediately
108747 DELTRONIC DH14-RR Profile Projector with 20X Objective Lens 1 as is where is
83627 DENSAN DSB-S17 OUTPUT BOARD SDB-S17 2 as is where is immediately
108811 DENTON DV-502A Electron-Beam Evaporator with Telemark TT3 P/S, 4 Pocket E-Gun 1 inquire
81837 Deublin 55-000-003 Deublin, Rotary Union 5/8" NEW Spares 1 as is where is immediately
110599 Diener Tetra 30LF PC Plasma Surface Treatment Machine Assembly 01.05.2016 1 as is where is immediately
91658 DNS SS-3000-A Scrubber (4F) 300 mm 1 as is where is
91660 DNS SS-3000-A Scrubber (4F) 300 mm 1 as is where is
91661 DNS SS-3000-A Scrubber (4F) 300 mm 31.05.2007 1 as is where is
91662 DNS SS-3000-AR Scrubber (2F/2B) 300 mm 30.06.2004 1 as is where is
91664 DNS SS-3000-AR Scrubber (4B) 300 mm 31.05.2005 1 as is where is
91667 DNS SS-3000-AR Scrubber (4B) 300 mm 31.05.2007 1 as is where is
91674 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91675 DNS SU-3000 Cleaner (MP Type) (3L/P) (1R) 300 mm 1 as is where is
91677 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91678 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91679 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91680 DNS SU-3000 Cleaner (SR Type) (3L/P) 300 mm 1 as is where is
110624 DNS FC-821L Wet 200 mm 1 as is where is
91681 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 1 as is where is
110625 DNS FC-821L Wet 200 mm 1 as is where is
110626 DNS SPW-813A Wet 200 mm 1 as is where is
91683 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 1 as is where is
91684 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 31.05.2008 1 as is where is
110628 DNS SCW-80A Coater Lithography Coater and Developer 200 mm 1 as is where is
110629 DNS SDW-80A Developer Lithography Coater and Developer 200 mm 1 as is where is
106022 DNS SK 2000 BVPE COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS 200 mm 01.09.2003 1 as is where is immediately
110630 DNS SK-3000-BVPEU Lithography Coater and Developer 300 mm 1 as is where is
106023 DNS SK 2000 BVPE Photoresist coater and developer - 2 ct - 2 bct - 4 dev 200 mm 01.03.2004 1 as is where is immediately
110637 DNS FC3000 Wet 300 mm 1 as is where is
103518 DNS FC3000 Pre Metal Cleaner 300 mm 31.05.2009 1 as is where is
103520 DNS SS-3000-AR Wafer Scrubber 300 mm 31.05.2003 1 as is where is
103521 DNS SS-3000-AR Wafer Scrubber 300 mm 31.05.2001 1 as is where is
103522 DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
108898 DNS AS2000 Oxide Wafer scrubbing system 200 mm 01.08.2000 1 as is where is immediately
103523 DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 31.05.2003 1 as is where is
103524 DNS SU-3000 Cleaner (MP Type) (2_AM1/2_DHF) (2LoadPort) 300 mm 1 as is where is
110952 DNS HT24DI16 ALGO Spares 5 inquire
110953 DNS HT24DIO32 ALGO Spares 5 inquire
110954 DNS Scrubber Spare Parts DTC/TBC U/D ASSY Spares 5 inquire
110955 DNS Scrubber Spare Parts DBC U/D ASSY Spares 5 inquire
109164 DNS SS-3000 Wafer Scrubber 300mm 1 as is where is
110956 DNS AMD322-8US-6-0-4 CKD Spares 5 inquire
109165 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110957 DNS AMD312-8US-6-24R CKD Spares 5 inquire
109166 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110958 DNS AMD312-8US-6-0-4 CKD Spares 5 inquire
109167 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110959 DNS AMD312-X0221 CKD Spares 5 inquire
109168 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110960 DNS AMD312-8US-6-3-4 CKD Spares 5 inquire
108401 DNS MP-3000 Single Wafer Processing 300 mm 1 as is where is
110961 DNS SGM-A3L3J26 AC servo motor Spares 5 inquire
110962 DNS SGM-A5L314CP AC servo motor Spares 5 inquire
110963 DNS SGM-A3LWJ46 AC servo motor Spares 5 inquire
110964 DNS SGM-A3L3J46P AC servo motor Spares 5 inquire
110965 DNS SGD-A3BH SERVOPACK Spares 5 inquire
110966 DNS SGD-02BH SERVOPACK Spares 5 inquire
110967 DNS MX-7500 DBC/TBC BRUSH LOAD CELL AMP (TECHNICAL&TRY Spares 5 inquire
110968 DNS GTC-2000E N2 HEAT PACK Spares 5 inquire
110969 DNS 739-57668 N2 FLOW METER(TOKYO KEISO) Spares 5 inquire
110970 DNS 739-63356 FLOW METER(TOKYO KEISO) Spares 5 inquire
110971 DNS CDRQ2BS30-180C-A93 SMC (SHUTER CYLINDER) Spares 5 inquire
108156 DNS SS-W80A-AR Wafer & Mask Scrubber 200 mm 01.06.2000 1 as is where is
110972 DNS Scrubber Spare Parts MT ARM SENSOR ASSY Spares 5 inquire
108157 DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 01.06.2004 1 as is where is
110973 DNS 7-39-33737 FSB BOARD Spares 5 inquire
110974 DNS MS61L PRESSURE SWITCH Spares 5 inquire
110975 DNS NK005-2 D-SONIC FLOW METER Spares 5 inquire
110976 DNS H5CN-XANS OMRON TIMER Spares 5 inquire
110977 DNS H7CL-ADS OMRON COUNTER Spares 5 inquire
110978 DNS Scrubber Spare Parts PRESSURE GAUGE Spares 5 inquire
110979 DNS Scrubber Spare Parts EXHAUST GAUGE Spares 5 inquire
110980 DNS CZ-40P-AP08-D FLOW SENSOR Spares 5 inquire
110981 DNS DP2-20Z fLOW METER Spares 5 inquire
110982 DNS FX-13 AMPLIFIER Spares 5 inquire
110983 DNS E3X-DA21 OMRON AMPLIFIER Spares 5 inquire
110984 DNS N4S010 CKD Spares 5 inquire
110985 DNS Scrubber Spare Parts SPIN U/D CYLINDER Spares 5 inquire
110986 DNS Scrubber Spare Parts CHUCK O/C CYLINDER Spares 5 inquire
110987 DNS KG5025 LEVEL SENSOR Spares 5 inquire
110988 DNS SS-A1 CONVERTOR Spares 5 inquire
110989 DNS Scrubber Spare Parts CLEAN CUP Spares 5 inquire
110990 DNS 700.252.705 GF+ VALVE Spares 5 inquire
105871 DNS 2-39-06099 NISSHIN VACUUM gauge 0-76 cmHg spares 1 as is where is immediately
110991 DNS Scrubber Spare Parts MT ARM Spares 5 inquire
105872 DNS 2-39-06106 NISSHIN pressure gauge 0-0.5 kgf cm2 spares 1 as is where is immediately
110992 DNS Scrubber Spare Parts SHUT DOOR PULLY Spares 5 inquire
110993 DNS Scrubber Spare Parts MAIN HLS BOARD Spares 5 inquire
110994 DNS AMD31-15-12-3 CKD VALVE Spares 5 inquire
110995 DNS AMD41-20-20-5FX-4 CKD VALVE Spares 5 inquire
93076 DNS SK-200W-AVPF Coater / Developer system (2C/2D) 200 mm 31.05.1997 1 as is where is
110996 DNS Scrubber Spare Parts D-SONIC ARM Spares 5 inquire
93077 DNS SK-200W-BVPE i-Line Photo Track Coater (3C3D) 200 mm 31.05.1997 1 as is where is
110997 DNS Scrubber Spare Parts N2 PLATE Spares 5 inquire
106648 DNS SS-3000-AR WET SCRUBBER – Including HDD 300 mm 01.06.2007 1 as is where is
106649 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2006 1 as is where is
108697 DNS SU3000 Acquaspin Wet wafer acid processing 300 MM 01.06.2004 1 inquire
106650 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2007 1 as is where is
106651 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2007 1 as is where is
106652 DNS SS-3000-AR Wafer Scrubber (4Back) (Including HDD) 300 mm 01.06.2007 1 as is where is
106653 DNS SS-3000-AR Wafer Scrubber (4Back) (Including HDD) 300 mm 01.06.2007 1 as is where is
106654 DNS SU3100 WET ETCH 300 mm 1 as is where is
108201 DNS INSPECTION SCOPE Inspection Scope 1 as is where is
91581 DNS SK-80BW AVPE Photoresist Coater and Developer system (2C/2D) 200 mm 31.05.1995 1 as is where is 3 months
18878 DNS 806 9406 D-SPIN 60A Instruction manual 1 as is where is
18879 DNS 803-9605 D-SPIN 80A Parts list 1 as is where is
18880 DNS 8089702 D-SPIN 80A Engineer's manual 1 as is where is
18881 DNS 2229 D-SPIN 80A Electrical circuit diagram 1 as is where is
18882 DNS 603 9309 D-SPIN 60A Part list 1 as is where is
93378 DNS WS820L Wet Bench (Porous Silicon Process) 200 mm 01.08.2011 1 as is where is immediately
18883 DNS 006 9105 D-SPIN 622 Service manual / part list 1 as is where is
18884 DNS 6398 D-SPIN 60 A Electrical circuit diagram 1 as is where is
18885 DNS 6398 D-SPIN 60 A Part list 1 as is where is
18886 DNS 6398 D-SPIN 60A Service manual 1 as is where is
18887 DNS 806-9311 D-SPIN 60A Instruction manual 1 as is where is
18888 DNS 603-9311 D-SPIN 60A Part list 1 as is where is
18889 DNS 806-9371 D-SPIN 60 Instruction manual 1 as is where is
98268 DNS SS-3100 WET Scrubber 300 mm 31.05.2012 1 inquire immediately
94447 DNS DNS SS-3000-A Bevel Scrubber (4F) 300 mm 31.05.2006 1 as is where is
94448 DNS DNS SS-3000-A Bevel Scrubber (4F) 300 mm 31.05.2011 1 as is where is
94449 DNS DNS SS-3000-A Wafer Scrubber (4Front) 300 mm 1 as is where is
94450 DNS DNS SS-3000-AR Bevel Scrubber (4B) 300 mm 31.05.2008 1 as is where is
106738 DNS DUOI ARF-I TRACK 300 mm 1 as is where is
94451 DNS DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
94452 DNS DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
94454 DNS DNS SU-3000 Cleaner (MP Type)(DHF) (2LoadPort) 300 mm 1 as is where is
94456 DNS DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 31.05.2004 1 as is where is
109567 DNS SS-3000-AR Batch Wafer Cleaner 300 mm 01.05.2007 1 as is where is immediately
106817 DNS / Sokuda RF3S Photoresist coater and developer( 5C5D) track 300 mm 1 as is where is immediately
110621 DNS / Sokudo RF3 Lithography Coater and Developer 300 mm 1 as is where is
108220 DNS / SOKUDO RF3 Photoresist Coater and Developer Track 300 mm 01.06.2019 1 inquire immediately
111362 DNS Dai Nippon Screen CW2000 Wet stations 1 as is where is immediately
83876 DOMNICK HUNTER QR 010 REV.4.0 FILTER 30.09.2007 2 as is where is immediately
107007 ECO Snow VersaClean 1200 Mask / Substrate cleaner 150 mm 01.10.2012 1 as is where is immediately
80001 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80029 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven Reliability 1 as is where is immediately
80030 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80031 EDA Industries PCBA 05431 DRIVER module for BIB oven Reliability 6 as is where is immediately
80032 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD Reliability 1 as is where is immediately
80040 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80041 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80042 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80045 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80080 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven Reliability 1 as is where is immediately
80081 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80082 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80084 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80033 EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). Reliability 256 as is where is immediately
79885 Elind 3232 Laboratory power supply 0-3,2A current adj - 0 - 32 V voltage adj Electronics Test and Measurement 1 as is where is immediately
79887 Elind 328 Laboratory power supply 0-0,8A current adj - 0 - 32 V voltage adj Lab Equipment 01.05.1995 1 as is where is immediately
79596 Elind KL 1200W Laboratory Power supply Electronics Test and Measurement 01.05.2000 8 as is where is immediately
110080 ENI ACG-10B Generator SPARES 1 inquire
110081 ENI ACG-10B Generator SPARES 1 inquire
110082 ENI ACG-10B Generator SPARES 1 inquire
110083 ENI ACG-10B Generator SPARES 1 inquire
110084 ENI ACG-10B Generator SPARES 1 inquire
110085 ENI ACG-10B Generator SPARES 1 inquire
110086 ENI ACG-10XL Generator SPARES 1 inquire
110087 ENI ACG-3 Generator SPARES 1 inquire
110088 ENI ACG-3 Generator SPARES 1 inquire
110089 ENI ACG-3 Generator SPARES 1 inquire
110090 ENI ACG-3 Generator SPARES 1 inquire
110091 ENI ACG-3 Generator SPARES 1 inquire
110092 ENI ACG-3 Generator SPARES 1 inquire
110093 ENI ACG-3 Generator SPARES 1 inquire
110094 ENI ACG-3B Generator SPARES 1 inquire
110095 ENI ACG-3B Generator SPARES 1 inquire
110096 ENI ACG-3B Generator SPARES 1 inquire
110097 ENI ACG-3B Generator SPARES 1 inquire
110098 ENI ACG-3DC Generator SPARES 1 inquire
110099 ENI ACG-3DC Generator SPARES 1 inquire
110100 ENI ACG-5 Generator SPARES 1 inquire
110101 ENI ACG-6 Generator SPARES 1 inquire
108566 ENI DCG-200Z-OPTIMA RF Generator SPARES 01.12.2005 6 as is where is 2 weeks
110102 ENI ACG-6 Generator SPARES 1 inquire
108567 ENI RFC-6-03 RF Generator SPARES 01.07.2006 1 as is where is immediately
110103 ENI DC Power Generator Generator SPARES 1 inquire
110104 ENI DCG 200 Generator SPARES 1 inquire
110105 ENI DCG 200 Generator SPARES 1 inquire
107546 ENI MWH-100 RF Match Spares 1 as is where is immediately
110106 ENI DOFBC2-078 Match SPARES 1 inquire
110107 ENI Dual Match 2.27Mhz Match SPARES 1 inquire
110108 ENI GHW-25 Generator SPARES 1 inquire
110109 ENI GHW-25 Generator SPARES 1 inquire
110110 ENI Harmonic Filter Miscellaneous SPARES 1 inquire
110111 ENI HPG-2 Generator SPARES 1 inquire
110112 ENI HPG-2 Generator SPARES 1 inquire
110113 ENI LPG-12A Generator SPARES 1 inquire
110114 ENI LPG-12A Generator SPARES 1 inquire
110115 ENI LPG-12A Generator SPARES 1 inquire
110116 ENI LPG-12A Generator SPARES 1 inquire
110117 ENI LPG-12A Generator SPARES 1 inquire
110118 ENI LPG-12A Generator SPARES 1 inquire
110119 ENI LPG-12A Generator SPARES 1 inquire
110120 ENI LPG-24 Generator SPARES 1 inquire
110121 ENI LPG-24 Generator SPARES 1 inquire
110122 ENI LPG-6 Generator SPARES 1 inquire
110123 ENI LPG-6A Generator SPARES 1 inquire
110124 ENI LPG-6A Generator SPARES 1 inquire
110125 ENI MW Controller Miscellaneous SPARES 1 inquire
110126 ENI MW Controller Miscellaneous SPARES 1 inquire
110127 ENI MW Controller Miscellaneous SPARES 1 inquire
110128 ENI MW Controller Miscellaneous SPARES 1 inquire
110129 ENI MW Controller Miscellaneous SPARES 1 inquire
110130 ENI MW Controller Miscellaneous SPARES 1 inquire
110131 ENI MW Controller Miscellaneous SPARES 1 inquire
110132 ENI MW Controller Miscellaneous SPARES 1 inquire
110133 ENI MW Controller Miscellaneous SPARES 1 inquire
110134 ENI MW Controller Miscellaneous SPARES 1 inquire
110135 ENI MW-10 Match SPARES 1 inquire
110136 ENI MW-10 Match SPARES 1 inquire
110137 ENI MW-10 Match SPARES 1 inquire
110138 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110139 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
107580 ENI OEM-12A XL RF Generator Spares 1 as is where is immediately
110140 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110141 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110142 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110143 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110144 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110145 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110146 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110147 ENI MW-10D Match SPARES 1 inquire
110148 ENI MW-10D Match SPARES 1 inquire
110149 ENI MW-10D Controller Miscellaneous SPARES 1 inquire
110150 ENI MW-10D Controller Miscellaneous SPARES 1 inquire
110151 ENI MW-10T Match SPARES 1 inquire
110152 ENI MW-5 Match SPARES 1 inquire
110153 ENI MW-5 Match SPARES 1 inquire
110154 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110155 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110156 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110157 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110158 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110159 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110160 ENI MW-50W Match SPARES 1 inquire
110161 ENI MW-5D Match SPARES 1 inquire
110162 ENI MW-5D Match SPARES 1 inquire
110163 ENI MW-5D Match SPARES 1 inquire
110164 ENI MW-5D Match SPARES 1 inquire
110165 ENI MW-5D Match SPARES 1 inquire
110166 ENI MW-5D Match SPARES 1 inquire
110167 ENI MW-5D Match SPARES 1 inquire
110168 ENI MW-5D Match SPARES 1 inquire
110169 ENI MW-5D Match SPARES 1 inquire
110170 ENI MW-5D Match SPARES 1 inquire
110171 ENI MW-5D Match SPARES 1 inquire
110172 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110173 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110174 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110175 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110176 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110177 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110178 ENI MWD-25 Match SPARES 1 inquire
110179 ENI MWD-25LD Match SPARES 1 inquire
110180 ENI MWD-25LD Match SPARES 1 inquire
110181 ENI MWH-100 Match SPARES 1 as is where is immediately
110182 ENI MWH-100 Match SPARES 1 as is where is
110183 ENI MWH-100 Match SPARES 1 inquire
110184 ENI MWH-100 Match SPARES 1 inquire
109417 ENI DCG-200Z RF GENERATOR Spares 5 as is where is
110185 ENI MWH-100 Match SPARES 1 inquire
109418 ENI DCG-200Z RF GENERATOR Spares 1 as is where is
110186 ENI MWH-100 Match SPARES 1 inquire
109419 ENI DCG-200Z RF GENERATOR Spares 6 as is where is
110187 ENI MWH-25 Match SPARES 1 inquire
109420 ENI DCG-200Z RF GENERATOR Spares 3 as is where is
110188 ENI MWH-25 Match SPARES 1 inquire
109421 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 1 as is where is
110189 ENI MWH-25 Match SPARES 1 inquire
109422 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 8 as is where is
110190 ENI MWH-5 Match SPARES 1 inquire
109423 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 1 as is where is
110191 ENI MWH-5 Controller Miscellaneous SPARES 1 inquire
109424 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 2 as is where is
110192 ENI MWH-5 Controller Miscellaneous SPARES 1 inquire
109425 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 4 as is where is
110193 ENI MWM-25-02 Match SPARES 1 inquire
109426 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 3 as is where is
110194 ENI MWM-25-02 Match SPARES 1 inquire
109427 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 4 as is where is
110195 ENI MWM-25-02 Match SPARES 1 inquire
109428 ENI DCG-200Z-S00 RF GENERATOR Spares 1 as is where is
110196 ENI OEM-10B Generator SPARES 1 inquire
109429 ENI DOFBC2-078 RF GENERATOR Spares 1 as is where is
110197 ENI OEM-12 Generator SPARES 1 inquire
109430 ENI MWH-100 RF GENERATOR Spares 1 as is where is
110198 ENI OEM-12 Generator SPARES 1 inquire
109431 ENI MWH-100 RF GENERATOR Spares 1 as is where is
110199 ENI OEM-12 Generator SPARES 1 inquire
109432 ENI OEM-12B RF GENERATOR Spares 3 as is where is immediately
110200 ENI OEM-12 Generator SPARES 1 inquire
109433 ENI SPECTRUM 11002-00 RF GENERATOR Spares 1 as is where is
110201 ENI OEM-1250 Generator SPARES 1 inquire
107642 ENI POD Interface Box Miscellaneous Spares 1 as is where is immediately
110202 ENI OEM-1250 Generator SPARES 1 inquire
110203 ENI OEM-1250 Generator SPARES 1 inquire
110204 ENI OEM-1250 Generator SPARES 1 inquire
110205 ENI OEM-1250 Generator SPARES 1 inquire
110206 ENI OEM-1250 Generator SPARES 1 inquire
107647 ENI RFC-5MW Miscellaneous Spares 1 as is where is immediately
110207 ENI OEM-12A Generator SPARES 1 inquire
110208 ENI OEM-12A Generator SPARES 1 inquire
110209 ENI OEM-12A Generator SPARES 1 inquire
110210 ENI OEM-12A Generator SPARES 1 inquire
110211 ENI OEM-12A Generator SPARES 1 inquire
110212 ENI OEM-12A Generator SPARES 1 inquire
110213 ENI OEM-12A Generator SPARES 1 inquire
110214 ENI OEM-12A Generator SPARES 1 inquire
110215 ENI OEM-12A Generator SPARES 1 inquire
110216 ENI OEM-12A Generator SPARES 1 inquire
110217 ENI OEM-12A Generator SPARES 1 inquire
110218 ENI OEM-12A XL Generator SPARES 1 inquire
110219 ENI OEM-12A XL Generator SPARES 1 inquire
110220 ENI OEM-2000 Generator SPARES 1 inquire
110221 ENI OEM-6 Generator SPARES 1 inquire
110222 ENI OEM-6 Generator SPARES 1 inquire
110223 ENI OEM-6 Generator SPARES 1 inquire
110224 ENI OEM-6 Generator SPARES 1 inquire
110225 ENI OEM-6 Generator SPARES 1 inquire
110226 ENI OEM-6 Generator SPARES 1 inquire
110227 ENI OEM-6 Generator SPARES 1 inquire
110228 ENI OEM-6 Generator SPARES 1 inquire
110229 ENI OEM-6 Generator SPARES 1 inquire
110230 ENI OEM-6 Generator SPARES 1 inquire
110231 ENI OEM-6 Generator SPARES 1 inquire
110232 ENI OEM-6 Generator SPARES 1 inquire
110233 ENI OEM-6 Generator SPARES 1 inquire
110234 ENI OEM-650A Generator SPARES 1 inquire
110235 ENI OEM-650A Generator SPARES 1 inquire
110236 ENI OEM-650A Generator SPARES 1 inquire
110237 ENI OEM-650A Generator SPARES 1 inquire
110238 ENI OEM-650A Generator SPARES 1 inquire
110239 ENI OEM-650A Generator SPARES 1 inquire
110240 ENI OEM-650A Generator SPARES 1 inquire
110241 ENI OEM-650A Generator SPARES 1 inquire
110242 ENI OEM-650A Generator SPARES 1 inquire
110243 ENI OEM-650A Generator SPARES 1 inquire
110244 ENI OEM-650A Generator SPARES 1 inquire
110245 ENI OEM-650A Generator SPARES 1 inquire
110246 ENI OEM-650A Generator SPARES 1 inquire
110247 ENI OEM-650A Generator SPARES 1 inquire
110248 ENI OEM-650A Generator SPARES 1 inquire
83625 ENI HF-3000-50 HARMONIC FILTER ASSY 28.02.1994 1 as is where is immediately
110249 ENI OEM-650A Generator SPARES 1 inquire
110250 ENI OEM-650A XL Generator SPARES 1 inquire
110251 ENI OEM-650A XL Generator SPARES 1 inquire
110252 ENI OEM-650A XL Generator SPARES 1 inquire
110253 ENI OEM-650A XL Generator SPARES 1 inquire
110254 ENI OEM-650A XL Generator SPARES 1 inquire
110255 ENI OEM-650A XL Generator SPARES 1 inquire
110256 ENI OEM-6B Generator SPARES 1 inquire
110257 ENI OEM-6B Generator SPARES 1 inquire
110258 ENI OEM-6L Generator SPARES 1 inquire
110259 ENI OEM-6M Generator SPARES 1 inquire
110260 ENI PL2-HF Generator SPARES 1 inquire
110261 ENI PL2-HF Generator SPARES 1 inquire
110262 ENI PL2-HF Generator SPARES 1 inquire
110263 ENI PL2-HF Generator SPARES 1 inquire
110264 ENI PL2-HF Generator SPARES 1 inquire
110265 ENI PL2-HF Generator SPARES 1 inquire
110266 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110267 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110268 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110269 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110270 ENI POD Interface Box Miscellaneous SPARES 1 inquire
106175 ENI OEM-2000-01M1 RF GENERATOR SPARES 1 as is where is immediately
110271 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110272 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110273 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110274 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110275 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110276 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110277 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110278 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110279 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110280 ENI RFC4T Match SPARES 1 inquire
110281 ENI RFC-5 Controller Miscellaneous SPARES 1 inquire
107466 ENI ACG-3B RF Generator Spares 1 as is where is immediately
110282 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110283 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110284 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110285 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110286 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110287 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110288 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110289 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110290 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110291 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110292 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110293 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110294 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110295 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110296 ENI Spectrum 5K 2mhz Generator SPARES 1 inquire
110297 ENI UTF-10 Miscellaneous SPARES 1 inquire
110298 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110299 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110300 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110301 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110302 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110303 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110304 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110305 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110306 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110307 ENI Voltage Probe Miscellaneous SPARES 1 inquire
110308 ENI Voltage Probe Miscellaneous SPARES 1 inquire
110309 ENI Voltage Probe Miscellaneous SPARES 1 inquire
80368 ENI OEM-6J RF GENERATOR SPARES 31.05.1995 1 as is where is immediately
110075 ENI ACG-10 Generator SPARES 1 inquire
110076 ENI ACG-10 Generator SPARES 1 inquire
110077 ENI ACG-10 Generator SPARES 1 inquire
110078 ENI ACG-10B Generator SPARES 1 inquire
110079 ENI ACG-10B Generator SPARES 1 inquire
77091 Enidine MB21539 Shock Absorber Spares 1 as is where is immediately
83513 Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet Facilities 01.07.2010 1 as is where is immediately
108957 Entegris Box 2" Wafer Transportation Box 2" SPARES 50 MM 330 as is where is immediately
108958 Entegris A72-40MB-0215 Teflon Carrier 4" SPARES 100 MM 57 as is where is immediately
108959 Entegris PH9100 Wafer Transportation Box 4" SPARES 100 MM 29 as is where is immediately
106467 EO Technic CSM-2000 CHIP SCALE LASER MARKER 150 mm/200 mm 01.06.2002 1 as is where is immediately
108064 EO Technics EO 9702 Laser marking 1 as is where is
108641 EO TECHNICS CSM 2000 Chip scale laser wafer marker 150 mm/200 mm 01.06.2006 1 as is where is immediately
98462 EO TECHNICS CSM 3000 Chip Scale laser marker 200 mm/300 mm 01.06.2005 1 as is where is immediately
106469 EO Technics CSM2000 CHIP SCALE LASER MARKER 150 mm/200 mm 1 as is where is immediately
106470 EO TECHNICS CSM2000 CHIP SCALE LASER MARKER 200 mm 01.06.2005 1 as is where is immediately
106655 EO TECHNOLOGY FOR GLASS PANEL Laser Marker for Glass 200 mm 01.06.2006 1 as is where is
84090 ERACOND X3 MINIATURE AIR CYLINDER 1 as is where is immediately
84080 ERACOND Z3 M20 PD 50 MINIATUR AIR CYLINDER 1 as is where is immediately
106899 ESI ELECTRO SCIENTIFIC INDUSTRIES 146900-01 REV A Lower Pneumatic Control Box for ESI 9830 spares 01.09.2007 1 as is where is 2 weeks
110756 ESI ELECTRO SCIENTIFIC INDUSTRIES 9825 Laser Repair System 300 mm and 200 mm 01.10.2003 10 as is where is immediately
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer Facilities 31.05.2004 1 as is where is immediately
108748 FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station 150 mm 1 as is where is immediately
110602 FEI Company XL820 Dualbeam FIB 16.06.2001 1 as is where is
106009 FEI Company FIB 200 Single Beam FIB Laboratory 01.06.2003 1 as is where is immediately
110704 FEI Company 820 Dual beam FIB SEM Laboratory 1 as is where is immediately
110310 Fenwal Sealer Sealing SPARES 1 inquire
110311 Fenwal Sealer Sealing SPARES 1 inquire
110312 Fenwal Sealer Sealing SPARES 1 inquire
110313 Fenwal Sealer Sealing SPARES 1 inquire
106894 FINETECH GmbH Fineplacer 96 Manual FlipChip Bonder 1 as is where is immediately
108817 FOUR DIMENSIONS CV92A Semi Auomatic Mercury Probe CV Plotter up to 200 mm 01.06.1998 1 inquire immediately
110723 Four Dimensions CVMAP 3092-A Wafer CV mapper 100-200 MM 01.06.2005 1 as is where is immediately
83616 FSP GROUP INC 100-240 W POWER SUPPLY for a p.c. 10A 50-60 Hz 01.01.2008 10 as is where is immediately
84260 FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM. 1
103814 Fujikin FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA Spares 01.05.2005 1 as is where is immediately
83544 Fujitsu Denso FDS26-29 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER Spares 01.02.1996 2 as is where is immediately
83549 Fujitsu Denso FDS11+11 750W 34A Power supply FOR ADVANTEST T5335P TESTER Spares 01.12.1995 1 as is where is immediately
96543 Gaertner L115C-8 Ellipsometer, cassette to cassette 100-200 mm 28.02.1995 1 as is where is immediately
109434 GAIN G50H13P RF GENERATOR Spares 1 as is where is
109435 GAIN M50H13P RF GENERATOR Spares 1 as is where is
84075 Gasonics DTC2280 ISA IDE control board floppy HDD 1 as is where is immediately
91348 Gasonics IRIDIA 4800 DL Stripper / Asher 31.05.1998 1 as is where is
91349 Gasonics IRIDIA 4800 DL Stripper / Asher 1 as is where is
91351 Gasonics IRIDIA 4800 DL Stripper / Asher 31.05.1998 1 as is where is
110314 GASONICS Gasonics IPC Match Match SPARES 1 inquire
110315 GASONICS Gasonics IPC Match Match SPARES 1 inquire
110316 GASONICS Gasonics Match Match SPARES 1 inquire
91138 GEMINI GEMINI III E EPI Reactor Batch 150 mm 1 as is where is immediately
110317 Gencal GenCal Power Meter Miscellaneous SPARES 1 inquire
110318 General Electric Dummy Load Miscellaneous SPARES 1 inquire
94596 Genesys DC Power Supplies SPARES 1 as is where is
94597 Genesys DC Power Supplies SPARES 1 as is where is
107016 Genmark Various genmark robots and Edwards turbo pumps Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot Spares 01.06.2013 9 as is where is immediately
108818 GENMARK S08R Robot Controllers, PN 990010622, 2ea Available SPARES 1 inquire
98463 Genmark GB4/3L Atmospheric wafer handling robot with controller 200 mm 1 as is where is immediately
98464 Genmark GB8-MT-80050102 Single arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
101042 Genmark AVR series Cleanroom Vacuum Robot only Spares 1 as is where is immediately
106945 Genmark GB3 Wafer handling Robot Robot 1 as is all rebuilt immediately
110782 Giant Force GPO-270-B Burn-In Oven Reliability 01.09.2022 1 as is where is immediately
110783 Giant Force GTH-150-40-CP-SD Temperature and Humidity Chamber Reliability 01.02.2023 1 as is where is immediately
106855 Gigaphoton A700GK-1 248 nm (KrF) excimer laser Facilities 01.10.2007 1 as is where is immediately
111342 Gigaphoton G21K2-1 KrF excimer laser 248 nm Facilities 01.11.2003 1 as is where is immediately
76735 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76736 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76737 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76738 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76739 GL Automation IDSCOPE Wafer bar code reader 200 mm 31.08.2004 1 as is where is immediately
110319 Glassman High Voltage, Inc PS/FL1.5F1.0 Generator SPARES 1 inquire
79892 Gossen Konstanter IEC625 Laboratory Power supply Gossen Konstanter UOP Electronics Test and Measurement 1 as is where is immediately
84413 HAMPTON CYCLETROL 240 CIRCUIT BREAKER 1 as is where is immediately
106042 Hardwall cleanroom Kingspan Ultratech Versatile 950 sq mt ISO Class 8 cleanroom facilities 01.06.2023 1 as is where is immediately
110320 Henry Henry 2k Controller Miscellaneous SPARES 1 inquire
110321 Henry Henry 2K Controller Miscellaneous SPARES 1 inquire
110596 Hesse & Knipps BJ 820 Magazine to magazine transport system for wirebonder Assembly 01.05.2013 1 as is where is immediately
109282 Hesse & Knipps BJ855 and BJ820 Wedge Bonders , magazine to Magazine Assembly 01.06.2019 26 as is where is immediately
110616 HP / Agilent 4062 Automated Test equipment TEST 1 as is where is immediately
108753 HP / Agilent 54601A Oscilloscope, 4 Channel 100 MHz Electronics Test and Measurement 1 as is where is immediately
107687 Huttinger PFG 300 RF Generator Spares 1 as is where is immediately
110322 Huttinger PFG 300 Generator SPARES 1 inquire
110323 Huttinger PFM 1500 A Match SPARES 1 inquire
108819 HYPERVISION Visionary 2 Emmission Microscope with Karl Suss PM-8 Analytical Prober 1 inquire
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83618 IKO NIPPON THOMPSON T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83619 IKO NIPPON THOMPSON BCS H S2 LINEAR BEARING AND RAIL 30.11.2003 1 as is where is immediately
83626 IKO NIPPON THOMPSON PS1 LINEAR BEARING 30.11.2003 1 as is where is immediately
84222 IKO NIPPON THOMPSON LWL 12 C2 LINEAR BEARING AND RAIL Spares 01.11.2008 1 as is where is immediately
108820 INFICON XTC/2 Deposition Controller SPARES 1 inquire
83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER RS232 1 as is where is immediately
77151 Ingersoll Rand ANAQK-ABXAB-160 Provenair HT Pneumatic Cylinder Spares 01.01.2008 1 as is where is immediately
108821 INNOLAS ILS 700 P Laser Drill SOLAR 1 inquire
56141 Innolas ILS 700P Laser Edge Isolation 156 mm 01.11.2006 1 as is where is immediately
110724 Innolas C3000DPS Wafer Marking System 300 MM 01.06.2002 1 as is where is immediately
86677 InTest Test Head CPIT TEP8 / STFLASH EPROM / 1792 Test Head Spares 31.05.2007 1 as is where is immediately
111365 INVALID[MPI Thermal ] ThermalAir TA5000A Temperature Forcing System 1 as is where is immediately
106504 Irvine Optical Auto Wafer Loader Microscope Inspection WAFER INSPECTION MICROSCOPE WITH AUTOLOADER 200 mm 01.06.1990 1 as is where is immediately
108163 IWATANI LNS-1 Liquid Nitrogen Supplier 1 as is where is
98726 Jonas and Redmann SDB Automated Loader for Baccini Printing Line Solar 31.05.2008 1 as is where is immediately
98727 Jonas and Redmann WHD (Wafer Handling Diffusion) Automated Loader for Centrotherm E2000 Furnace Solar 01.05.2008 1 as is where is immediately
98728 Jonas and Redmann WHP (Wafer Handling Plasm) Automated Loader for Anti Reflection Coating System Solar 01.05.2008 1 as is where is immediately
77014 Jonas and Redmann SDB A AUTOMATED Loader for baccini Print Line 156 mm 01.06.2006 1 as is where is immediately
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 156 mm and 125 mm 31.05.2003 1 as is where is immediately
91427 Jordan Valley JVX 6200 X-ray metrology (X-Ray Reflectivity) 300 mm 1 as is where is
100917 Jordan Valley JVX6200 X-Ray Inspection System 300 mm 31.05.2010 1 as is where is
99830 JORDAN VALLEY JVX6200I X-ray Metrology System 300 mm 28.02.2011 1 as is where is immediately
108415 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer 300 mm 1 as is where is
106917 K AND S SPRINT HIGHSPEED AUTOMATIC WIRE BONDER ASSEMBLY 1 as is where is immediately
18866 K AND S 98060-0000-001-01 Manual for Model 8060 automatic wedge bonder 1 as is where is
109028 K AND S 8028 Automatic Ball Bonder Assembly 01.03.2000 1 as is where is immediately
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components Assembly 01.05.2010 1 as is where is immediately
106818 Kensington WFH4C wafer robot with aligner 150/200mm 1 as is where is immediately
106819 Kensington WFH4D wafer robot with aligner 150/200mm 1 as is where is immediately
84388 KEYENCE FU-12 PHOTO SENSOR 4 as is where is immediately
84392 KEYENCE PS SERIES PHOTO SENSOR 6 as is where is immediately
84393 KEYENCE PS SERIES PHOTO SENSOR 1 as is where is immediately
84394 KEYENCE PS SERIES PHOTO SENSOR 3 as is where is immediately
109189 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester TEST 1 as is where is
109190 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester TEST 1 as is where is
108836 KINETIC SYSTEMS Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36" SOLAR 1 inquire
108759 KINETIC SYSTEMS Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h) 1 as is where is
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
95117 KLA - Tencor 259 Image Digitizer Assembly SPARES 2 as is where is immediately
111395 KLA -TENCOR P-22H Step Height Measurement Tool (Refurbished) 1 inquire
34117 KLA -TENCOR 7700 CASSETTE PLATE + PCB 8" SPARES 01.09.1995 1 immediately
34126 KLA -Tencor 7700m (Spares) Mirror Curved SPARES 1 as is where is immediately
34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 SPARES 1 as is where is immediately
83562 KLA -TENCOR 7700M (Spares) CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan Spares 1 as is where is immediately
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM SPARES 01.06.1998 1 as is where is immediately
108075 KLA Tencor 2131 Wafer Defect Inspection 150 mm 1 as is where is
106574 KLA TENCOR SEM-3800C Scanning Electron Microscope N/A 1 as is where is
34140 KLA TENCOR 7700M COMPUTER HARD DISK 1 as is where is immediately
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS SPARES 01.02.1997 1 as is where is immediately
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 150 WATTS 01.02.1997 1 as is where is immediately
83577 KLA TENCOR 7700 M MODEL 2214-30SLOTT D1,D2,D3 01.09.1998 1 as is where is immediately
31612 KLA TENCOR 8100 PART 740 05584 000 C CONNECTOR INTERFACE 3 as is where is
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 230 WATTS 01.02.1997 1 as is where is immediately
108165 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1991 1 as is where is
108166 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1990 1 as is where is
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems SPARES 1 as is where is immediately
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM Spares 1 as is where is immediately
21670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 SPARES 01.02.1996 1 inquire immediately
21671 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY SPARES 01.02.1996 1 inquire immediately
106674 KLA TENCOR Viper 2430 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is immediately
106675 KLA TENCOR Viper 2435 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is
106676 KLA TENCOR Viper 2435XP Macro Defect Inspection 300 mm 01.06.2004 1 as is where is
106677 KLA TENCOR CI T1X0 package inspection system 300 mm 1 as is where is
106678 KLA TENCOR CI T1X0 package inspection system 300 mm 01.06.2006 1 as is where is
83895 KLA TENCOR 7700M (Spares) PIN DIODE PRE AMP PCB SPARES 31.05.1992 1 as is where is immediately
106679 KLA TENCOR 2132 (mainbody only) Wafer Inspection System 150 mm,200 mm 01.06.1995 1 as is where is
106681 KLA TENCOR P-2 Profileometer 150 mm,200 mm 01.06.1996 1 as is where is
83898 KLA TENCOR 7700M (Spares) Optical sub-assembly SPARES 1 as is where is immediately
83899 KLA TENCOR 7700M (Spares) Lens assembly SPARES 2 as is where is immediately
83900 KLA TENCOR 7700 Mechanical part SPARES 1 as is where is immediately
32230 KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32231 KLA TENCOR Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure MANUAL 1 as is where is immediately
32232 KLA TENCOR surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32233 KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
106481 KLA Tencor AIT I Patterned Surface Inspection System 150 mm/200 mm 01.06.1995 1 as is where is immediately
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem SPARES 3 as is where is immediately
34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M spares 1
34116 Kla-Tencor AIT 1 Network Card PCB SPARES 1 as is where is immediately
84411 KLA-Tencor 7700M (Spares) Electro-optical assembly for KLA Surfscan 7600 and 7700 SPARES 31.05.1995 1 as is where is immediately
109059 KLA-Tencor 289825A Sony XC-711 Video Camera and cable set SPARES 1 as is where is immediately
109598 KLA-Tencor Surfscan 5500 Wafer Particle Detection 200 mm 1 inquire
103206 KLA-Tencor 5xxx Spare Part LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System Spares 31.05.1995 1 as is where is immediately
91435 KLA-Tencor AIT Particle Review 200 mm 31.05.1997 1 as is where is
53035 KLA-Tencor 2132 (8 inch Wafer Chuck Assembly) Ceramic Wafer chuck, 8" spares 1 as is where is immediately
53036 KLA-Tencor Compumotor M575L11 Stepping motor drive spares 1 as is where is immediately
109613 KLA-Tencor AIT Surfscan wafer particle detection system 150 mm 1 inquire
109107 KLA-Tencor PROMETRIX FT-650 WAFER FILM THICKNESS MEASUREMENT SYSTEM 01.06.1991 1 as is where is immediately
76358 KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB Spares 1 as is where is immediately
91464 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 200 mm 1 as is where is immediately
91466 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 1 as is where is
34125 KLA-Tencor 7700m (spares) Mirror Assy Flat spares 1 as is where is immediately
34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler spares 1 as is where is immediately
34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control SPARES 1 as is where is immediately
84054 KLA-TENCOR 8100 (Spares) Motorized slit assembly for CD SEM Spares 2 as is where is immediately
34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical spares 1 as is where is immediately
34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB SPARES 1 as is where is immediately
4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE FACILITIES 1 as is where is immediately
4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 200 mm 1 as is where is immediately
83810 KLA-Tencor 7700M (Spares) leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope spares 31.05.1997 1 as is where is immediately
34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB spares 1 as is where is immediately
34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 spares 1 as is where is immediately
34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS spares 1 as is where is immediately
34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror SPARES 1 as is where is immediately
34165 KLA-TENCOR 3005503 OPTICAL ASSY for kla 7700 and 7600 surfscans Spares 1 as is where is immediately
84086 KLA-TENCOR ASSY.CBL.GND EATHING STRAP 01.06.2000 14 as is where is immediately
34166 KLA-TENCOR AIT 1 (SPARES) Lens Assembly in transport box SPARES 1 as is where is immediately
84087 KLA-TENCOR 7700M (Spares) Mirror assembly for KLA 7700 m surfscan Spares 1 as is where is immediately
84088 KLA-TENCOR 7700M (Spares) Optical Lens assembly from a KLA 7700 M Surfscan Spares 1 as is where is immediately
84089 KLA-TENCOR 7600M ELECTRO-OPTICAL ACTUATOR ASSY Spares 28.02.1998 1 as is where is immediately
84091 KLA-TENCOR 113387 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers Spares 31.05.1995 1 as is where is immediately
84092 KLA-TENCOR 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132 200 mm 31.05.1998 1 as is where is immediately
83837 KLA-TENCOR 7700M (Spares) EMO Button for KLA 7700M SPARES 1 as is where is immediately
84093 KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM Spares 1 as is where is immediately
31613 KLA-Tencor 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000 spares 5 as is where is immediately
110725 KLA-Tencor ARCHER 5 Optical Overlay measurement system 150-200 mm 01.06.2001 1 as is where is immediately
110726 KLA-Tencor OmniMap AutoRS75TC Wafer Resistivity Measurement 150-200 mm 01.06.1996 1 as is where is immediately
109191 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
110727 KLA-Tencor UV1080 Thin film measurement system 150-200 mm 01.06.2000 1 as is where is immediately
109192 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
109193 KLA-Tencor 2830 Brightfield Inspection 300mm 1 as is where is
105866 KLA-Tencor Surfscan 7700m Keyboard Assy 1 as is where is immediately
109194 KLA-Tencor AIT UV Darkfield Inspection 300mm 1 as is where is
109195 KLA-Tencor ASET-F5x Film Thickness Measurement System 300mm 1 as is where is
109196 KLA-Tencor Puma 9130 Darkfield Inspection 300mm 1 as is where is
109197 KLA-Tencor Puma 9130 Darkfield Inspection 300mm 1 as is where is
27790 KLA-Tencor 259 (Spare parts) PCBs for reticle inspection system 1 as is where is immediately
109198 KLA-Tencor Surfscan SP2 Wafer Particle Measurement System 300 mm 01.12.2010 1 as is where is immediately
74643 KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems spares 01.06.1993 1 as is where is immediately
1691 KLA-TENCOR 259 (spare parts) Reticle Inspection - SPARE PARTS up to 7 inch 01.12.1991 1 inquire immediately
27806 KLA-Tencor TFE Gun Controller Gun Controller Chassis Part No 720-05888-000 Spares 2 as is where is immediately
21667 KLA-Tencor JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN SPARES 31.01.1998 1 inquire immediately
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 0,4-0,7 MPa 31.05.1998 3 as is where is immediately
83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM SWAGELOK 152086 01.06.2000 1 as is where is immediately
18598 KLA-TENCOR 5xxx Spare Parts Set of Spare Parts from a KLA 5015 150 mm 01.12.1990 1 as is where is immediately
83623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM spares 01.06.2000 1 as is where is immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
83630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM spares 01.12.2000 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18871 KLA-TENCOR 546860-27 Operation manual 1 as is where is
52151 KLA-Tencor Hamamatsu R1924A Photomultiplier spares 01.03.2007 1 as is where is immediately
83896 KLA-TENCOR Pittman 9433F528 Servo Drive motor for KLA 7700 Surfscan SPARES 1 as is where is immediately
18872 KLA-TENCOR 563234-27 Reference manual 1 as is where is
83897 KLA-Tencor 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M SPARES 01.08.1995 1 as is where is immediately
18873 KLA-TENCOR 990-039410-00 210 e-series theory 1 as is where is
84409 KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT SPARES 1 as is where is immediately
18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 1 as is where is
84410 KLA-TENCOR 7700M SENSOR OPTICAL SPARES 1 as is where is immediately
83643 KLA-Tencor RIBBON CABLE SPARES 2 as is where is immediately
18875 KLA-TENCOR 563226-27 Software version 5.0 manual 1 as is where is
18876 KLA-TENCOR 546879-27 Software version 4.1 manual 1 as is where is
83645 KLA-Tencor 2xx (SPARES) Control Paddles for KLA 2xx reticle inspection system Spares 01.06.1992 1 as is where is immediately
83901 KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan) SPARES 1 as is where is immediately
83902 KLA-Tencor 5xxx Spare Part Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY Spares 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
103366 KLA-TENCOR 7700M (Spares) Robot Arm, for up to 8 inch wafers, for KLA 7700 M Spares 31.05.1993 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18634 KLA-Tencor POWER SUPPLY LAMBDA Rev. A 01.06.1992 1 as is where is
18635 KLA-Tencor 851391-101 LH RESEARCH REV.B 01.06.1992 1 as is where is
83918 KLA-TENCOR 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan SPARES 1 as is where is immediately
71632 KLA-TENCOR 2122 Brightfield Wafer Defect Inspection System 200 mm 01.04.1996 1 as is where is immediately
108760 KLA-Tencor Surfscan 4500 Wafer Particle Inspection System 2 to 6 inch 01.05.1986 1 as is where is immediately
83929 KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM spares 31.05.1998 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
83932 KLA-TENCOR 195430 rev B Detector Assembly for a KLA 7700M Surfscan SPARES 30.11.1985 1 as is where is immediately
96998 KLA-Tencor Surfscan AIT Patterned Wafer Inspection 200 mm 01.05.1997 1 as is where is immediately
53227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 SPARES 01.12.1989 1 as is where is immediately
84215 KLA-TENCOR 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M Spares 01.05.1993 1 as is where is immediately
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
84220 KLA-TENCOR 253537 Rev A Microscope Distribution PCB, for Surfscan 7600 and 7700 series Spares 1 as is where is immediately
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 spares 01.06.1998 2 as is where is immediately
80185 KNF Neuberger 057359 Diphagrahm service kit for N40.3FT pump 01.01.2012 2 as is where is immediately
74239 KNF NEUBERGER N 840.3 FT.18 DIAPHRAGM VACUUM PUMP LABOPORT D-79112 spares 1 as is where is
103386 KNIEL System CPD 5.12/6.3 Power Supply, 321-019-02.00 Spares 30.04.2001 2 as is where is immediately
84224 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 1 as is where is immediately
84258 KOGANEI SLIM AIR CYLINDER 4 as is where is immediately
84261 KOGANEI PDA S AIR CYLINDER 2 as is where is immediately
84265 KOGANEI KA CMA AIR CYLINDER 1 as is where is immediately
84266 KOGANEI TWDA AIR CYLINDER 1 as is where is immediately
84270 KOGANEI SLIM AIR CYLINDER 2 as is where is immediately
83846 KOGANEI JDAS32X5-165W AIR CYLINDER Spares 2 as is where is immediately
83873 KOGANEI BDAS10X30 SPARES 2 as is where is immediately
83880 KOGANEI AME07-E2-PSL VACUUM EJECTOR 8 as is where is immediately
83891 KOGANEI A200-4E1 AIR VALVE 2 as is where is immediately
84223 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 3 as is where is immediately
84055 KOGANEI LTD KA.CMA MINI CYLINDER 3 as is where is immediately
84056 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
84057 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
106689 KORNIC KORONARTP1200+ RTP 300 mm 01.06.2010 1 as is where is
106690 KORNIC RTP-600M RTP, Wafer Diffusion 100 mm, 150 mm 1 as is where is
84773 KOYO LINBERG VF5100B Set of Cleanroom Manuals spares 31.05.1998 1 as is where is immediately
109436 KYOSAN 15Z-S1 RF GENERATOR Spares 1 as is where is
109437 KYOSAN 15ZI-M RF GENERATOR Spares 2 as is where is
109438 KYOSAN HPK06ZI-TE7-SINGLE RF GENERATOR Spares 17 as is where is
109439 KYOSAN HPK15ZD RF GENERATOR Spares 1 as is where is
109440 KYOSAN JFK85TH-TC6 RF GENERATOR Spares 20 as is where is
108761 LABCONCO Protector Laboratory Fume Hood and Cabinet with Sink Laboratory 1 as is where is immediately
111364 LAM RESEARCH /ONTRACK DSS 200 Scrubber Series 1 1 as is where is immediately
106820 Lam Research Ontrak Synergy Post CMP cleaner with HEPA mini-environment 200 mm 1 as is where is immediately
106486 Lintec RAD-2000F / 8 LINTEC UV CURE TOOL 150 mm/200 mm 01.07.2018 1 as is where is immediately
106487 Lintec RAD-2500 Lintec Wafter Mounter RAD2500 150 mm/200 mm 1 as is where is immediately
106488 Lintec RAD-2500M/8 Wafer mounter 150 mm/200 mm 01.06.2001 1 as is where is immediately
107008 Long Hill Ind. Ltd. LH 836 Automated Wafer taper 150 mm 01.03.2004 1 as is where is immediately
108282 Longhill LH800 Wafer transfer machine 200 mm 01.06.2007 1 as is where is immediately
94476 LUMONICS SUPERCLEAN Laser Marking System 200 mm 1 as is where is
108844 MACTRONIX UKA-625 Eureka III Jr. Manual Wafer Transfer 1 inquire
108845 MACTRONIX UKA-825 Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers 200 MM 1 inquire
108764 MACTRONIX UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers 150 mm 1 as is where is
94599 MagneTek DS 316 GPD 503 SPARES 1 as is where is
83885 MATHESON TRI.GAS ROTAMETER 3 as is where is immediately
108578 MATTSON PARADIGM_SI DRY ETCH 300mm 01.06.2012 1 as is where is immediately
108579 MATTSON PARADIGM_SI Poly-silicon etcher 300 mm 01.05.2011 1 as is where is immediately
108910 Mattson AST3000 RTP 200 mm 01.09.2002 1 as is where is immediately
103539 MATTSON HELIOS RTP 300 mm 31.10.2003 1 as is where is immediately
103540 MATTSON HELIOS RTP 300 mm 30.04.2005 1 as is where is immediately
109447 MATTSON RFS3019 RF GENERATOR Spares 1 as is where is
108184 MATTSON MILLIOS Rapid Thermal Anneal 300 mm 01.06.2011 1 as is where is
18845 MATTSON 17500675-00 Aspen OEM manual volume I 2 as is where is
18846 MATTSON 17500675-00 Aspen OEM manual volume II 3 as is where is
18847 MATTSON 17500675-00 Aspen OEM manual volume III 3 as is where is
18848 MATTSON 299-31000-00 Aspen strip system manual 6 as is where is
98281 MATTSON HELIOS RTP 300 mm 31.01.2006 1 as is where is immediately
98282 MATTSON HELIOS RTP 300 mm 31.10.2006 1 as is where is immediately
98283 MATTSON PARADIGME SI Dry Strip 300 mm 31.05.2011 1 as is where is
98284 MATTSON PARADIGME SI Polysilicon Etch 300 mm 1 as is where is
109552 Mattson Aspen 3 ICP Dual Chamber Light Etcher 300 mm 01.07.2008 1 as is where is immediately
91641 MATTSON HELIOS RTP 300 mm 30.04.2005 1 as is where is immediately
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 150 mm 01.05.1995 1 inquire immediately
108284 Mechatronic mWS200/300t Wafer sorter with 4 load ports 300 mm 01.06.2012 1 as is where is immediately
110789 Mentor Graphics Veloce 2 Quattro Hardware Emulation System 1 as is where is immediately
108766 Micro Automation 2066 Mask and wafer cleaner 1 as is where is immediately
108846 MICROAUTOMATION M-1100 Wafer Dicing Saw, for up to 6" Wafers 150 MM 1 inquire
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 200 mm , 150 mm, 125 mm 01.05.2000 1 as is where is immediately
108580 Micromanipulator Probe Station 1 Manual Prober with hot and cold chuck 200 mm 1 as is where is immediately
84374 MICRON 256 MB SYNCH 1 as is where is immediately
108847 MICRONICS JAPAN CO. MP-10 Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners 1 inquire
82220 Milton Roy AA761-65S Flow rate pump 1 as is where is immediately
108848 MINATO MM-6600 Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available 1 inquire
79592 Minato Electronics 1940 EPROM Programmer with additional memory Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79593 Minato Electronics 1940 EPROM Programmer Electronics Test and Measurement 01.05.2005 1 as is where is immediately
83812 MINERTIA MOTOR RM SERIES MOTOR ELECTRIC 30.09.1996 1 as is where is immediately
83890 MINERTIA MOTOR RM SERIES INDUCTION MOTOR 01.03.1997 1 as is where is immediately
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
106703 N&K ANALYZER 5700-CDRT Wafer Inspection System 1 as is where is
106704 n&k Technology 6700-CDRT Wafer Inspection System 1 as is where is
106705 NANO OPTICS HAZE 2 Nano Optics Haze 2 200 mm 1 as is where is
108292 Nanofocus Microprint 3-D package inspection system ASSEMBLY 01.06.2014 1 as is where is
107027 NANOMETRICS Caliper Mosaic Overlay measurement System 300 mm 01.08.2010 1 inquire immediately
109113 Nanometrics LynX 9010T Optical CD Measurement (Scatterometry) system 300 mm 01.09.2008 1 as is where is immediately
106823 Nanometrics Nanospec 9100 Oxide film thickness measurement (PC missing) 200 mm 1 as is where is immediately
103544 NANOMETRICS CALIPER ELAN Overlay 300 mm 31.05.2004 1 as is where is
91526 NANOMETRICS Caliper Mosaic Overlay 300 mm 31.05.2003 1 as is where is immediately
91529 NANOMETRICS Caliper Mosaic Overlay 300 mm 28.02.2010 1 as is where is immediately
91530 NANOMETRICS NANOMETRICS 9-7200-0195E Mask & Wafer Inspection 200 mm 1 as is where is
108186 NANOMETRICS CALIPER_MOSAIC Overlay 300 mm 01.06.2001 1 as is where is
98480 Nanometrics 8000X film thickness measurement 150 mm 2 as is where is immediately
98481 Nanometrics 8000Xse film thickness measurement 200 mm 2 as is where is immediately
98482 Nanometrics Caliper Mosaic (Parts) EFEM Module, including a Brooks Razor robot 300 mm 1 as is where is immediately
98483 Nanometrics Lynx EFEM EFEM including a Kawasaki robot 300 mm 1 as is where is immediately
108467 Nanometrics Tevet Trajectory T3 Film Thickness Measurement System 300 mm 1 as is where is
106179 Nanometrics 9000 Nanospec Wafer Metrology film thickness measurement tool 200 mm 01.06.2005 1 as is all rebuilt immediately
106959 Nanometrics 9000 (Spare Parts) Spectroscopic Reflectometer Spares 01.06.2005 1 as is where is immediately
106960 Nanometrics 9000 (Spare Parts) Computer Nanometrics P/n 7200-2432 Spares 01.06.2005 1 as is where is immediately
101585 NANOMETRICS CALIPER-ULTRA Overlay Measurement 300 mm 30.06.2006 1 as is where is
106706 NANOMETRICS CALIPER_ULTRA Mask & Wafer Inspection 300 mm 01.06.2006 1 as is where is
98289 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 31.05.2005 1 as is where is
98290 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 31.05.2005 1 as is where is
98291 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 30.06.2006 1 as is where is immediately
77197 Nanyang Quartz wafer boat Shell boat 200mm quartz 200mm 6 as is where is immediately
69868 National Instruments GPIB120A GPIB BUS EXPANDER / ISOLATOR TEST 31.05.1998 8 as is where is immediately
84369 NC NOR-CAL PRODUCTS 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE 01.03.1990 1 as is where is immediately
91143 NEC NEC SL-473F Si Wafer Marker 1 as is where is immediately
83887 NEC C1OT 6D TA 0100 THERMOSTAT 01.06.2004 1 as is where is immediately
83888 NEC MU1238B-11B AIRFLOW ROTATION 1 as is where is immediately
83889 NEC MF300-02 MIST FILTER MF300-02 1 as is where is immediately
83545 Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply FOR Advantest T5335P Spares 1 as is where is immediately
83546 Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
107009 NESLAB HX+75 A/C Process Module Chiller Facilities 01.06.1995 1 as is where is immediately
108850 NESLAB CFT-75 Recirculating Water Chiller CHILLER 1 inquire
108851 NESLAB RTE-221 Refrigerated Recirculating Water Chiller with Microprocessor Controller CHILLER 1 inquire
94594 Neslab 1033-0017-001 Chiller CHILLER 1 as is where is
74168 Neslab RTE-110 Benchtop Laboratory chiller Spares 01.06.1995 1 as is where is immediately
108769 NESLAB HX-750 Air Cooled Recirculating Water Chiller, Damaged Compressor 1 as is where is
108770 NESLAB HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled 1 as is where is
108852 NEUTRONIX/QUINTEL 7000 Mask Aligner, with IR Backside Alignment, for up to 6" Wafers 1 inquire
108031 NEXTEST MAGNUM II EV ICP Memory Tester TEST 01.11.2011 1 as is where is
99382 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
99383 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
109045 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2003 1 as is where is immediately
109046 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2004 1 as is where is immediately
108032 Nextest Systems Corporation MAGNUM II ICP 1280 Memory Tester TEST 01.04.2010 1 as is where is immediately
108030 Nextest Systems Corporation MAGNUM ICP 1280 Memory Tester TEST 01.05.2010 1 as is where is immediately
93103 NGR NGR2150 E-beam wafer inspection 300 MM 1 as is where is
108853 NICOLET Avatar 370 DTGS FT-IR Spectrometer 1 inquire
108187 NICOLET Magna 410 ft-ir FTIR Spectrophotometer Laboratory 01.06.1996 1 as is where is
108188 NICOLET Magna 560 ft-ir FTIR Spectrophotometer Laboratory 01.06.1998 1 as is where is
108771 NICOLET Magna 550 FT-IR Spectrophotometer Laboratory 01.05.1993 1 as is all rebuilt immediately
108189 NIDEC Im15 Wafer inspection 1 as is where is
108190 NIDEC Im800 Wafer inspection 1 as is where is
109057 Nikon NSR-S307E 193 nm (ArF) excimer exposure system 300 mm 1 as is where is immediately
106503 Nikon Auto Wafer Loader for Microscope Inspection WAFER INSPECTION MICROSCOPE 150 mm/200 mm 1 as is where is immediately
109072 Nikon NSR-S208D 248 nm (KrF) excimer exposure system 300 mm 01.06.2010 1 as is where is immediately
110610 NIKON OptiStation 3 Wafer Inspection Microscope 200 mm 1 as is where is immediately
110618 NIKON Optistation 3 Wafer Inspection Microscope 01.07.1994 1 as is where is immediately
103456 Nikon NWL860-TBM Wafer Auto Loader 150 mm, 200 mm 1 as is where is immediately
111396 Nikon R2205H Set of test reticles spares 4 as is where is immediately
108854 NIKON NWL-860 Automatic Microscope Wafer Loader for up to 200mm Wafers 1 inquire
108087 Nikon 4S061-690-1 Linear Motor Controller spares 1 as is where is
108855 NIKON Optiphot 200 Wafer Inspection Microscope, B/Dfield & DIC Microscopy, Motorized Turret with 5ea Objectives, Prior ProScan Programmable Stage, 200mm X 200mm XY Travel, Color CCD Camera & More 1 inquire
108088 Nikon 4S586-580 NEST spares 1 as is where is
108089 Nikon Irradiance Meter included G-line sensor spares 1 as is where is
108090 Nikon Irradiance Meter included i-line sensor spares 1 as is where is immediately
108092 Nikon OPTIPHOT-200 Wafer inspection microscope 200 mm 3 as is where is
108093 Nikon OPTIPHOT-300 Wafer inspection microscope 300 mm 1 as is where is
108094 Nikon OPTIPHOT-88-AC IN Wafer inspection microscope 200 mm 1 as is where is
108095 Nikon R1505A test reticle spares 2 as is where is immediately
108096 Nikon R2005MF(VER3.09N), R2005HMF(VER5.09) test reticle spares 2 as is where is
108097 Nikon R2205HA, HB, HDIS, HMF test reticle spares 4 as is where is
108098 Nikon VT286 Digital spares 2 as is where is
110663 Nikon NSR-SF140 I-LINE SCANNER 300 mm 1 as is where is immediately
110664 Nikon NSR-S204B 248 nm (KrF) excimer exposure system 200 mm 1 as is where is immediately
110690 Nikon NSR 2205 I11D i line Stepper 200 mm 01.06.1997 1 as is where is immediately
108643 Nikon Eclipse L200 Wafer Inspection Microscope 150 mm/200 mm 01.06.2006 1 as is where is immediately
110691 Nikon NSR 2205 I9C i line Stepper 200 mm 01.06.1994 1 as is where is immediately
110692 Nikon NSR S204B 248 nm DUV Scanner 200 mm 1 as is where is immediately
110695 Nikon NWL 860 TMB Wafer Inspection Microscope with wafer autoloader 150 mm, 200 mm 1 as is where is immediately
108904 Nikon NSR-S609B ArF 193 nm scanner (Scanner) 300 mm 01.05.2005 1 as is where is immediately
108923 Nikon NES1-H04 Mini stepper 100 mm 01.05.2011 1 as is where is immediately
110729 Nikon NSR-S204B Photo-lithography 248 NM DUV Scanner with photo-track 300 MM 01.06.2002 1 as is where is immediately
109215 Nikon NSR-S308F 193nm (ArF) Scanner 300mm 1 as is where is
108965 Nikon A1R Confocal Laser Scanning Microscope with Prior Proscan III automated stage Laboratory 1 as is where is immediately
18867 NIKON 204402 Operations manual NSR-1755I7A 1 as is where is immediately
98484 Nikon ECLIPSE L150 Microscope 100/150mm 1 as is where is immediately
98485 Nikon Optiphot 150 Microscope Inspection Station 100/150mm 3 as is where is immediately
110791 Nikon OptiStation 3200 Fully Automated Wafer Inspection Microscope 300 mm 1 as is where is immediately
106956 Nikon 4S061-688-5 X Linear Motor Stage Amp SPARES 1 as is where is immediately
32206 NIKON RETICLE BOX 5 INCH 5 INCH RETICLE BOX 5 INCH 2 as is where is immediately
106707 NIKON AMI-3300 Wafer Inspection System 300 mm 1 as is where is
106708 NIKON AMI-3300 Wafer Inspection System 300 mm 1 as is where is
106709 NIKON AMI-3500 Wafer Inspection System 300 mm 1 as is where is
109278 Nikon NSR2205 i14E i-line stepper 200 mm 01.05.2000 1 as is where is immediately
109536 Nikon NSR-SF100 i-line scan-field Stepper 200 mm 01.06.2003 1 as is all rebuilt immediately
108772 Nikon Optistation 3 Wafer Inspection System 150 mm 01.05.1994 1 as is where is immediately
111339 Nikon NSR-SF200 KrF Excimer Scan-Field Stepper 150 mm 01.06.2003 1 as is where is immediately
91376 NIKON NSR-204B Scanner DUV (GIGAPHOTON G20K2 KRF laser) 200 mm 1 as is where is
108784 Nikon Optistation 3A Automatic Wafer Inspection Station 200 mm 01.05.1997 1 as is where is immediately
111344 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2008 1 as is where is immediately
91377 NIKON NSR-204B 248 nm (KrF) excimer exposure system 200 mm 01.06.2000 1 as is where is immediately
108785 NIKON Optiphot 200 (For spares use) Wafer Inspection Microscope (Parts Tool Only) 200 mm 1 as is where is immediately
111345 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2011 2 as is where is immediately
108789 Nikon Optiphot 200 Wafer Inspection Microscope 200 mm 1 as is all rebuilt immediately
108790 NIKON Optiphot 88 Wafer Inspection Microscope 200 mm 1 as is where is immediately
109051 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1999 1 as is where is immediately
109052 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1998 1 as is where is immediately
105867 Nisshin 7 kgf/cm2 pressure gauge spares 1 as is where is immediately
105868 Nisshin 4 kgf/cm2 pressure gauge spares 1 as is where is immediately
105869 Nisshin 0-76 cmHg vacuum gauge spares 1 as is where is immediately
105870 Nisshin 0-1 kgf/cm2 pressure gauge spares 1 as is where is immediately
111104 Nissin EE04537 Arc Terminal Fitting - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111105 Nissin EE11140-0 Aperture (1) 40mm*38mm - 3000 3000(W) Spares 5 inquire
111106 Nissin 17107405-A Beam Dump Tile - 3000 3000(W) Spares 5 inquire
111107 Nissin EE05017-0 Gas Spacer - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
108911 Nissin Exceed 3000AH Medium Current Implanter 300 mm 01.05.2007 1 as is where is immediately
111232 NISSIN SOK30-2070 Crucible - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111233 NISSIN SOK30-2015 Gas Nozzle - 2300 2300 (Nissin) Spares 5 inquire
111234 NISSIN EE10918-0 Aperture - 3000 3000(W) Spares 5 inquire
111235 NISSIN SOK30-2109 I/S Suppression Cable - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111236 NISSIN SOK30-2001 Arc Chamber Plate, Upper - 2300 2300 (Nissin) Spares 5 inquire
93833 Nissin Exceed 2000 Medium Current Ion Implanter 3, 4 and 5 inch 01.05.1997 1 as is where is immediately
111265 NISSIN SOK30-2035 Reflector 2 - 2300 2300 (Nissin) Spares 5 inquire
111266 NISSIN SOK30-2091 Electrode 2 - 2300 2300 (Nissin) Spares 5 inquire
111267 NISSIN SOK30-2061 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111268 NISSIN SOK30-2068 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111269 NISSIN SOK30-2097 Insulator - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111270 NISSIN SOK30-2096 Electrode Spacer B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111271 NISSIN SOK30-2133 Gas Nozzle (4) - 3000 3000(Mo) Spares 5 inquire
111272 NISSIN SOK30-2141 Spacer C - 3000 3000(MO) Spares 5 inquire
111273 NISSIN SOK30-2137 Electrode 2 - 3000 3000(MO) Spares 5 inquire
111274 NISSIN EE-04973 Sheath Nut - 3000 3000(MO) 3000(W) Spares 5 inquire
111275 NISSIN SOK30-2124 Insulation Ring (1-4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111276 NISSIN SOK30-2123 Reflector (4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111277 NISSIN SOK30-2125 Insulation Ring (2-4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111278 NISSIN SOKS03-2018 Arc Chamber Side Plate A - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111279 NISSIN SOKS03-2019 Arc Chamber Side Plate B - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111280 NISSIN EE04973-0 Sheath Nut - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111281 NISSIN EE05018-0 Spacer F - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111282 NISSIN EE03932-1 Arc Chamber - All Common 3000 (W) 9600 (IHC-R2) Spares 5 inquire
111089 Nissin SOK30-2140 Electrode 5 - 3000 3000(Mo) Spares 5 inquire
111090 Nissin SOK30-2094 Electrode 5 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111091 Nissin SOK30-2093 Electrode 4 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111092 Nissin SOK11-0112 Insulation Ring 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111093 Nissin SOK30-2139 Electrode 4 - 3000 3000(Mo) Spares 5 inquire
111094 Nissin SOK11-0123 Filament Feedthrough 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111095 Nissin SOK11-0111 Insulation Ring 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111096 Nissin SOK11-0122 Filament Feedthrough 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111097 Nissin NIE79483-2 Carbon Lining 2 - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111098 Nissin EE05021-0 Insulation Base (A) - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111099 Nissin NIE47348-2-B Aperture (2) : B Type - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111100 Nissin SOK30-2142 Electrode 6 - 3000 3000(Mo) Spares 5 inquire
111101 Nissin SOK40-2031 Insulator (A) - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111102 Nissin SOK30-2089 Electrode 1-A - 2300 2300 (Nissin) Spares 5 inquire
111103 Nissin NIE48864-1-B Beam Dump Tile - 2300 2300 (2nd) Spares 5 inquire
83906 NITROGEN PRESSURE VALVE 30.11.1992 1 as is where is immediately
83628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3 as is where is immediately
108099 Nitto N286M Wafer Mounter 0 as is where is
110688 NITTO DR8500 II Wafer Taper 200 mm 01.06.1996 1 as is where is immediately
110689 NITTO HR8500 II Wafer De-Taper 200 mm 01.06.1996 1 as is where is immediately
110730 Nitto DR-8500-II Wafer taper 150-200 mm 01.02.2001 1 as is where is immediately
110731 Nitto HR-9300 Wafer De-taper 200-300 mm 01.02.2015 1 as is where is immediately
110732 Nitto HR-8500-II Wafer de-laminator 150-200 mm 01.07.2000 1 as is where is immediately
83597 Nitto TT1R2-1 teach pendant for robot TT1R2-1 spares 1 as is where is immediately
98486 Nitto MA 3000 II Wafer tape mounter and demounter 200 mm/300 mm 01.03.2007 1 as is where is immediately
32210 Nitto HR8500-2 INSTRUCTION MANUAL MANUAL 1 as is where is immediately
32212 Nitto DR8500-2 INSTRUCTION MANUAL MANUAL 2 as is where is
32214 Nitto 44941001 TAPE SPOOL PARTS 2 as is where is immediately
32216 Nitto 1603502 TAPE SPOOL PARTS 1 as is where is
70301 NK RM 120 REAL TIME MONITOR SPARES 1 as is where is immediately
110347 Nordson Matchbox Match SPARES 1 inquire
110348 Nordson Matchbox Match SPARES 1 inquire
110349 Nordson Matchbox Match SPARES 1 inquire
110350 Nordson Matchbox Match SPARES 1 inquire
110351 Nordson Matchbox Match SPARES 1 inquire
110352 Nordson Matchbox Match SPARES 1 inquire
110353 Nordson Matchbox Match SPARES 1 inquire
110354 Nordson Matchbox Match SPARES 1 inquire
110355 Nordson Matchbox Match SPARES 1 inquire
110356 Nordson Matchbox Match SPARES 1 inquire
110357 Nordson Matchbox Match SPARES 1 inquire
109495 NORDSON CW2 RF GENERATOR Spares 1 as is where is
109496 NORDSON DSS CW2 V2 RF GENERATOR Spares 1 as is where is
83937 Norgren M/50/EAN/5V electronic switch 1 as is where is immediately
108033 NOVA NOVASCAN 3090 P/N 390-10000-11 CMP metrology system 300 mm 1 as is where is immediately
109216 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109217 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109218 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109219 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109220 Nova V2600 Integrated CMP Endpoint / Film Measurement 300mm 1 as is where is
108967 NOVA T600 MMSR Ellipsometer for CD and thin film measurements 300 mm 01.01.2018 1 as is where is immediately
109283 NOVA MEASURING Novascan Novascan CMP Endpoint measurement unit 200 mm 01.06.2000 1 as is where is immediately
109284 NOVA MEASURING Novascan Novascan CMP Endpoint measurement unit 200 mm 01.06.2000 1 as is where is immediately
70300 Novascan 945-00666-00 HALOGEN LAMP SPARES 2 as is where is immediately
111366 Novellus Concept Two Altus W-CVD Nitride 2 Chamber 1 as is where is immediately
90146 NOVELLUS GAMMA 2100 asher / PR STRIP 30.11.2002 1 as is where is immediately
108581 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
108582 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
108583 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
91218 NOVELLUS CONCEPT 3 GAMMA 2130 300 mm 1 as is where is
91219 NOVELLUS CONCEPT 3 GAMMA 2130 300 mm 1 as is where is
91220 NOVELLUS CONCEPT 3 SPEED NEXT 300 mm 31.05.2004 1 as is where is
91221 NOVELLUS CONCEPT 3 SPEED NEXT 300 mm 31.05.2006 1 as is where is
91223 NOVELLUS CONCEPT 3 SPEED NEXT 300 mm 31.05.2005 1 as is where is
103545 NOVELLUS CONCEPT 3 INOVA NExT 300 mm 1 as is where is
103546 NOVELLUS VECTOR PECVD Nitride 300 mm 31.05.2005 1 as is where is
108191 NOVELLUS 676 CMP System Polisher 200 mm 01.06.1997 1 as is where is
109221 Novellus Concept Three Altus WCVD (Chemical Vapor Deposition) 300mm 1 as is where is
109222 Novellus Concept Three Altus WCVD (Chemical Vapor Deposition) 300mm 1 as is where is
109223 Novellus VECTOR PECVD (Chemical Vapor Deposition) 300mm 1 as is where is
109224 Novellus VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition) 300mm 1 as is where is
108472 Novellus Concept Three Speed HDP CVD (Chemical Vapor Deposition) 300 mm 1 as is where is
109497 NOVELLUS TOP MATCH RF GENERATOR Spares 1 as is where is immediately
108475 Novellus SABRE 3D ECD (Electro Chemical Deposition) 300 mm 1 as is where is
108477 Novellus VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition) 300 mm 2 as is where is
106710 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2003 1 as is where is
106711 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2004 1 as is where is
106712 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2005 1 as is where is
106713 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2005 1 as is where is
106714 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2005 1 as is where is
109288 Novellus C3 Vector UV Cure UV Cure 300 mm 01.06.2006 1 as is where is immediately
111374 NP Test NPR-505L Power Plasma Reactor 1 as is where is immediately
111375 NP Test NPR-507L Power Plasma Reactor 1 as is where is immediately
109498 NPP NPG-15KM RF GENERATOR Spares 10 as is where is
109499 NPP NPG-3KG RF GENERATOR Spares 1 as is where is
109500 NPP NPG-8000H RF GENERATOR Spares 2 as is where is
109501 NPP NPG-8000H(VER 04) RF GENERATOR Spares 2 as is where is
109502 NPP NPG-8000H(VER 05) RF GENERATOR Spares 3 as is where is
109503 NPP NPG-8000H(VER 06) RF GENERATOR Spares 4 as is where is
109504 NPP NPG-8000H(VER 08) RF GENERATOR Spares 1 as is where is
109505 NPP NPM-10KCSM RF GENERATOR Spares 4 as is where is
109506 NPP NPM-10KCSMD RF GENERATOR Spares 3 as is where is
109507 NPP NPM-1250M RF GENERATOR Spares 3 as is where is
109508 NPP NPM-1250X RF GENERATOR Spares 8 as is where is
109509 NPP NPM-2KEM RF GENERATOR Spares 1 as is where is
109510 NPP NPM-3KCAT RF GENERATOR Spares 1 as is where is
109511 NPP NPR-804L RF GENERATOR Spares 26 as is where is
109512 NPP NPR-804LJ RF GENERATOR Spares 2 as is where is
109513 NPP NPRLC-400 RF GENERATOR Spares 10 as is where is
83552 NSK GLOBAL LTD MAEBASHI PLANT BALL SCREW W1201-112P 28.02.2005 4 as is where is immediately
103384 Nuclear Elettronica 314E 5/12 - 6/2 rev A Power Supply, 5V/12A - 6V/2A Spares 14 as is where is immediately
103385 Nuclear Elettronica 314E.100 REV A Power Supply, 5V/20A Spares 10 as is where is immediately
103387 Nuclear Elettronica 312E.44L REV A Dual Power Supply, +/- 15V / 1A Spares 4 as is where is immediately
83567 Numatics 225-272B Solenoid Valve 01.04.2009 1 as is where is
74178 Numatics 12DSA4 OA00030 Solenoid Valve 120V 01.04.2009 1 as is where is
74179 Numatics 227-833B valve 3 as is where is
74180 Numatics L22L-03 FILTER PNEUMATIC 01.01.2011 1 as is where is
83905 NUPRO SS-4BK-V51 REGULATOR PRESSURE Spares 1 as is where is immediately
83914 NUPRO SS-4R3A1- TUBE FITTINGS & VALVE 1 as is where is immediately
83916 NUPRO 7 MICRON 1 as is where is immediately
83917 NUPRO 107 1 as is where is immediately
103439 Oerlikon Clusterline 200 PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) 200 mm 01.05.2005 1 as is where is immediately
106896 Omniguard 860UV-IR UV-IR Fire detector, w/ mount Spares 2 as is where is immediately
13195 OMRON r88d-ua02ha servo driver 01.12.2003 1
84386 OMRON E3C-DM2R 2 M PHOTOELECTRIC SWITCH 2 m 3 as is where is immediately
84395 OMRON E3C-C PHOTOELECTRIC SWITCH 1 as is where is immediately
84396 OMRON E5CJ TEMPERATURE CONTROLLER MULTI RANGE 1 as is where is immediately
84397 OMRON E3S-X3CE4 TEMPERATURE CONTROLLER 2 as is where is immediately
83886 OMRON TL-W5WC2 PROXIMITY SWITCH 1 as is where is immediately
84398 OMRON E3XR-CE4 TEMPERATURE CONTROLLER 2 as is where is immediately
84399 OMRON E2E-C1C1 PROXIMITY SWITCH 1 as is where is immediately
84400 OMRON E3S-XE1 PHOTO ELECTRIC SWITCH 1 as is where is immediately
84401 OMRON E3C-JC4P PHOTO ELECTRIC SWITCH 1 as is where is immediately
84402 OMRON E3X-A11 PHOTO ELECTRIC SWITCH 1 as is where is immediately
84403 OMRON E3HT--DS3E2 PHOTO ELECTRIC SENSOR 1 as is where is immediately
84404 OMRON E3C--JC4 AMPLIFIER UNIT 1 as is where is immediately
84405 OMRON EE-SPW321 PHOTO MICROSENSOR 1 as is where is immediately
84406 OMRON E32-TC200A PHOTO ELECTRIC SWITCH 1 as is where is immediately
108858 ON SITE GAS SYSTEMS N-20 N2 Generator with 100 Gallon Storage Tank FACILITIES 1 inquire
21666 ONE AC CORP FMV 321S TRANSFORMER FOR KLA 7700 SERIES PSU SPARES 01.02.1996 1 inquire immediately
103547 ONTO WV320 MACRO INSPECTING 300 mm 31.05.2004 1 as is where is
109229 ONTO AutoEL III Ellipsometer 200mm 1 as is where is
109230 ONTO Axi 935 Macro-Defect 300mm 1 as is where is
109231 ONTO MetaPULSE 300 Film Thickness Measurement System 300mm 1 as is where is
109232 ONTO MetaPULSE 300 Film Thickness Measurement System 300mm 1 as is where is
109233 ONTO NSX 105 Macro-Defect 200mm 1 as is where is
13066 ORIENTAL MOTOR SEE COMMENTS GEAR HEADS 7 as is where is immediately
15900 ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR 2 as is where is immediately
83805 ORIENTAL MOTOR 21K6GN-A TW9 50602 1 as is where is immediately
83806 ORIENTAL MOTOR 51K40GN-AT 1 as is where is immediately
83807 ORIENTAL MOTOR PB204-101 2 as is where is immediately
83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1 as is where is immediately
83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 01.12.1993 1 as is where is immediately
83811 ORIENTAL MOTOR XU9 MOTOR ELECTRIC FO FR CARRIER MOTOR 1 as is where is immediately
83823 ORIENTAL MOTOR 4GK15K MOTOR ELECTRIC 1 as is where is immediately
83825 ORIENTAL MOTOR MBM425-411 SPEED CONTROL MOTOR 1 as is where is immediately
83834 ORIENTAL MOTOR PH596-A STEPPING MOTOR SPARES 1 as is where is immediately
13206 ORIENTAL MOTOR 4LF45N-2 LINEAR HEAD SPARES 1 as is where is immediately
83875 ORIENTAL MOTOR UPH564-A 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
83629 ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR SPARES 1 as is where is immediately
83893 ORIENTAL MOTOR 4GN30K GEAR HEAD 1 as is where is immediately
69817 Oriental Motor VEXTA PK564-NAC Brand New 5-Phase Stepping Motor, 0.72 degree/step, with driver unit Spares 01.06.1996 1 as is where is immediately
13014 Oriental Motor 5RK40RGK-AM REVERSIBLE MOTOR, 40W 100V 50/60 HZ Spares 01.06.2000 1 as is where is
108859 ORTHODYNE 20B Heavy Wire Bonder ASSEMBLY 1 inquire
110358 Oxford Instruments OPT AMU Match SPARES 1 inquire
83824 PANASONIC MF A 020LATNP AC SERVO MOTOR SPARES 1 as is where is immediately
77202 Panasonic M91C90GD4W1 AC Geared Motor (G Series) spares 01.12.2008 1 as is where is immediately
111382 PERKIN ELMER 2400 Sputtering system 1 as is where is immediately
111357 PERKIN ELMER 2400-8SA Sputter system 1 as is all rebuilt
108862 PERKIN-ELMER 2400 Sputtering System 1 inquire
109077 Picosun P1000 High Volume ALD system for batch coating of parts Up to 300 mm 01.06.2020 1 as is where is immediately
83587 Pittman GM9213E081 GEAR motor 19.1 vdc 65.5:1 RATIO Spares 1 as is where is immediately
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 200 mm 01.05.2005 1 as is where is immediately
83565 Power Launch (?) FU100F Valve tube electron tube 01.01.2009 2 as is where is immediately
69870 Power One HPM5A2A2KS234 5V Switching Power Supply TEST 31.05.1998 5 as is where is immediately
69872 Power One HPM5C1C1E1E1H1S240 Switching Power Supply TEST 01.06.1998 1 as is where is immediately
69873 Power One HPM5F2F2KS233 2V Switching Power Supply TEST 01.06.1998 2 as is where is immediately
69874 Power One HPM5E2E2KS228 28 V Switching Power Supply TEST 31.05.1998 2 as is where is immediately
69875 Power One SPM2E1E1S304 28 V Switching Power Supply TEST 31.05.1998 1 as is where is immediately
83928 PULNIX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS 01.12.1998 1 as is where is immediately
84239 Raytheon ELC-14947 Directed light assembly, for ESI Spares 1 as is where is immediately
77159 Renishaw RGH24X30A00A Encoder Head NEW IN BOX Spares 1 as is where is immediately
110359 RF Global Solutions RF Services Match controller Miscellaneous SPARES 1 inquire
98731 Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation Solar 01.06.2008 1 as is where is immediately
106985 Rofin Powerline L100 SHG YAG laser Ablation system 532 nm Solar 01.06.2014 1 as is where is immediately
81835 Rosemont Analytical 1055-01-11-22 PH Monitor Solucomp II 1 as is where is immediately
108866 ROYCE INSTRUMENTS ASTM-2kg Die Shear Load Cell SPARES 1 inquire
108867 ROYCE INSTRUMENTS ASTM-50g Wire Pull Load Cell SPARES 1 inquire
108868 ROYCE INSTRUMENTS ASTM-200g Die Shear Load Cell SPARES 1 inquire
108869 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108870 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108871 ROYCE INSTRUMENTS STM-20kg Die Shear Load Cell SPARES 1 inquire
108872 ROYCE INSTRUMENTS System 550 100K Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders SPARES 1 inquire
108873 ROYCE INSTRUMENTS Die Shear Workholder SPARES 1 inquire
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester SMT 01.05.2004 1 as is where is immediately
84373 SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM 2 as is where is immediately
79889 Sanitas EG Multilevel EPROM Programmer Electronics Test and Measurement 1 as is where is immediately
86303 Sankei Giken TCW-12000 CV Process Module Chiller Facilities 01.07.1996 1 as is where is immediately
106206 SANYO DENKI RBA2C-202 SERVO DRIVER MODULE Spares 2 as is where is immediately
83634 SCANLAB RTC 2 Type XY-01 PC INTERFACE BOARD 20-TVS 31.07.2006 2 as is where is immediately
107012 SEC Semiconductor Equipment Corp. 3100 Manual wafer taper 150 mm 01.07.2002 2 as is where is immediately
106740 SECRON IP 300 Prober 300 mm 1 as is where is
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
78169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 200 mm 31.05.2003 1 as is where is immediately
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 200 mm 01.07.2003 1 as is where is immediately
109074 Sensofar PLu Neox Optical 3D Surface Profiler with motorized XY stage, 4 Lens (10X, 20X, 50X, 100X), anti vibration table Optical 3D Surface Profiler 01.06.2012 1 as is where is
108696 SENTECH Senduro 300 Thin Film measurement Up to 300 MM 01.06.2008 1 inquire
107898 Seren L301 RF Generator Spares 1 as is where is immediately
107903 Seren L601 RF Generator Spares 1 as is where is immediately
110468 Seren AT20 Match SPARES 1 inquire
110469 Seren AT30 Match SPARES 1 inquire
110470 Seren AT35 Match SPARES 1 inquire
110471 Seren AT35DSE2 Match SPARES 1 inquire
110472 Seren AT35VFC Match SPARES 1 inquire
107913 Seren R601 RF Generator Spares 1 as is where is
110473 Seren AT6 Match SPARES 1 inquire
110474 Seren AT6 Match SPARES 1 inquire
110475 Seren AT6M Match SPARES 1 inquire
110476 Seren Controller Miscellaneous SPARES 1 inquire
110477 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110478 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110479 Seren I1827MWF Generator SPARES 1 inquire
110480 Seren I1827MWF Generator SPARES 1 as is where is immediately
110481 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110482 Seren I2000 Generator SPARES 1 inquire
110483 Seren L301 Generator SPARES 1 inquire
110484 Seren L301 Generator SPARES 1 inquire
110485 Seren L301 Generator SPARES 1 inquire
110486 Seren L301 Generator SPARES 1 inquire
110487 Seren L301 Generator SPARES 1 inquire
110488 Seren L301 Generator SPARES 1 inquire
110489 Seren L301 Generator SPARES 1 inquire
110490 Seren L601 Generator SPARES 1 inquire
110491 Seren L601 Generator SPARES 1 inquire
110492 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110493 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110494 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110495 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110496 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110497 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110498 Seren MCRS Match SPARES 1 inquire
110499 Seren PSRS Generator SPARES 1 inquire
110500 Seren R2001 Generator SPARES 1 inquire
110501 Seren R3001 Generator SPARES 1 inquire
110502 Seren R601 Generator SPARES 1 inquire
110503 Seren Seren Match Match SPARES 1 inquire
109525 SEREN R301MKⅡ RF GENERATOR Spares 1 as is where is
74255 SGL Carbon / Ringsdorff 3PC1396/2 Heater 18 inch Graphite 3PC1396 2 spares 01.10.2003 3 as is where is
77161 Shimaden SR91-8P-90-1N0 Temperature Regulator Spares 1 as is where is immediately
111406 Shin-Etsu MW 200 Wafer Transportation Box 12" Spares 200 MM 12 as is where is immediately
108960 Shin-Etsu MW 200 Wafer Transportation Box 8" Spares 200 MM 50 as is where is immediately
83548 Shindengen SDC05150G 5V 150 A Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
108594 SHINKAWA COF 300 Flip Chip Bonder Assembly 01.05.2005 5 as is where is immediately
108595 SHINKAWA UTC-2000 SUPER Wire Bonder Assembly 01.05.2008 1 as is where is immediately
108596 SHINKAWA UTC-1000 SUPER Wire Bonder Assembly 01.05.2007 21 as is where is immediately
108597 SHINKAWA UTC-3000WE Wire Bonder Assembly 01.05.2012 1 as is where is immediately
105873 Shinmei Keiki 0-1 kgf/cm2 pressure gauge spares 2 as is where is immediately
93409 Shinwa Controls Co., Ltd. CH1-CP-D1 CH1-CP-D1 1 as is where is
76611 SHOWA ELECTRONICS 511-16 REGULATED DC POWER SUPPLY laboratory 1 as is where is immediately
110744 Siconnex Produce 200 Acid Wet Cleaning System 200 mm 01.06.2008 1 as is where is
97080 Singulus S-000414 Singular 05.03.2014 1 inquire
106878 Singulus Singular XP ICP PECVD system for solar cells production Solar 01.06.2015 3 as is where is immediately
111384 SONIX HS-1000 Scanning Acoustic Microscope Laboratory 01.06.2010 1 as is where is immediately
108876 SONIX UHR-2000 Scanning Acoustic Microscope ASSEMBLY 1 inquire
53268 Sorensen SS200-S0120 Power Supply Megatest Part number 113849 Spares 31.05.1994 3 as is where is immediately
83505 SORENSEN 220 VOLTS POWER SUPPLY 220 VOLTS 30.11.1994 3 as is where is immediately
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories TEST 30.11.2005 1 inquire immediately
86279 ST Automation MT32SX Flash Memory testing System TEST 30.06.2005 1 as is where is immediately
86280 ST Automation PT-M1 Automated Test System Test 1 as is where is immediately
80177 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80178 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80179 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80180 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
78133 ST Automation QT200 Test System test 31.05.2007 1 as is where is immediately
80181 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80182 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80183 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80184 ST Automation QT EPR16 DD Automated Flash Memory Tester System with monitor TEST 30.09.2007 1 as is where is immediately
78137 ST Automation QT200 Tester System with monitor test 1 as is where is immediately
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation test 31.03.2007 1 as is where is immediately
102494 ST Automation MT32SX Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY TEST 30.09.2007 1 as is where is immediately
93822 ST Automation EPR88 Automated Test System TEST 31.05.2005 1 as is where is immediately
99969 ST Automation QT200 Automated Tester System with monitor test 31.05.2005 1 as is where is immediately
86670 ST Automation QT200 Automated Test System TEST 31.05.2005 1 as is where is immediately
93865 ST Automation QT 200 epr 88 Flash Memory Testing System Test 31.05.2005 1 as is where is immediately
101848 ST Automation MT32SX Automated Flash Memory Testing System test 31.05.2007 1 as is where is immediately
71904 ST Automation test head test head for Eprom U 1835 1 as is where is
71908 ST Automation PTM1 Flash Memory Tester Test 3 as is where is immediately
71910 ST Automation MT32SX Flash Memory Test System for 256 MB memory testing TEST 01.05.2008 1 as is where is immediately
84022 Sun Ultrasparc 60 Unix computer from Teradyne J994 Test 31.12.1996 1 as is where is immediately
84023 Sun Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994 Test 01.01.1997 1 as is where is immediately
84380 SUNX SS-A5 SENSOR CONNECTIONS 6 as is where is immediately
84381 SUNX CX-21/FX/SU SENSOR SYSTEM C8 6 as is where is immediately
84382 SUNX SU-7 LO SENSOR & SYSTEM HB 012 3 as is where is immediately
84383 SUNX GSA-5S QUALITY PROXIMITY SENSOR HB 012 2 as is where is immediately
84384 SUNX SS-AT1 / SS2-300E SENSOR SYSTEM 2 as is where is immediately
84385 SUNX SH-21E SENSOR SYSTEM 1 as is where is immediately
80257 Swagelok / CAJON SS-6-VC0 FITTINGS spares 8 as is where is immediately
33413 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. TEST 01.05.2006 1 as is all rebuilt immediately
33414 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. test 01.05.2006 1 as is all rebuilt immediately
79888 System General T9600 Universal Device Programmer Electronics Test and Measurement 01.05.2003 1 as is where is immediately
79890 Systron Donner DL 40 - 2A Powe Supply - single and dual voltage 1 as is where is immediately
108877 TAMARACK SCIENTIFIC Mask Aligner, Contact Mask Exposure System 1 inquire
27748 TAYLOR-WARTON LD 25 DEWAR FOR CRYOGENIC FLUIDS 1 as is where is immediately
108878 TECHNICAL MFG. CORP. MICRO-g 62-111 Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers SPARES 1 inquire
108879 TECHNICAL MFG. CORP. MICRO-g 63-463 Vibration Isolation Table, 48" X 30" SPARES 1 inquire
108880 TECHNICAL MFG. CORP. MICRO-g 63-661 Vibration Isolation Table, 47" X 36" SPARES 1 inquire
84502 TED PELLA INC CAT 622 M TIN SPHERES ON CARBON 17.8mm Mount 2 as is where is immediately
108598 TEKTRONIX TDS720P Oscilloscope 1 as is where is immediately
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope Electronics Test and Measurement 01.07.2007 1 as is where is immediately
79590 Tektronix TDS 544A Color 4 channel 500 MHz digitizing oscilloscope with probes, accessories etc. Electronics Test and Measurement 01.05.2006 1 as is where is immediately
108774 TEKTRONIX 7704 Oscilloscope 1 as is where is
79597 Tektronix PS 280 DC Power supply (Working condition) Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79599 Tektronix 11801C Digital Sampling Oscilloscope 50 GHz Electronics Test and Measurement 01.01.2001 1 as is where is immediately
79601 Tektronix 2432A Digital Oscilloscope,250 MS/s, 2 channel, with GPIB Electronics Test and Measurement 1 as is where is immediately
111341 TEL (Tokyo Electron Ltd) ACT 8 (Parts) Carrier Send Block, SMIF 200 mm 01.06.2001 1 as is where is immediately
98304 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
98305 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
108036 TEL Tokyo Electron INDY PLUS BCD POLY 300 mm 01.07.2010 1 as is where is
98846 TEL Tokyo Electron VIGUS Mask Dielectric Etch 300 mm 30.04.2009 1 as is where is immediately
98847 TEL Tokyo Electron VIGUS RK2 Dielectric Etch 300 mm 31.07.2013 1 as is where is immediately
77089 TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly Spares 1 as is where is immediately
109093 TEL Tokyo Electron Expedius Acid Wet bench 300 mm 01.10.2006 1 as is where is immediately
110638 TEL Tokyo Electron Mark8 Lithography Coater and Developer with 2c, 2d 150 mm 1 as is where is
110639 TEL Tokyo Electron P-12XL Prober 300 mm 1 as is where is
110640 TEL Tokyo Electron P-12XL prober 300 mm 1 as is where is
110641 TEL Tokyo Electron Telius Dry Etcher 300 mm 1 as is where is
110642 TEL Tokyo Electron Telius SP-Vesta Dry Etcher 300 mm 1 as is where is
110643 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110644 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110645 TEL Tokyo Electron UNITY2e-855DD Dry Etcher 200 mm 1 as is where is
110646 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108599 TEL TOKYO ELECTRON P12XLM Prober 300 mm 01.05.2006 1 inquire immediately
110647 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108600 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2004 1 as is where is immediately
110648 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108601 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
110649 TEL Tokyo Electron Unity2e-855II IEM Dry Etcher 200 mm 1 as is where is
108602 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
110650 TEL Tokyo Electron Unity2e-855PP DP Dry Etcher 200 mm 1 as is where is
108603 TEL Tokyo Electron P12XLM Prober 300 mm 01.05.2006 1 as is where is immediately
110651 TEL Tokyo Electron Unity2e-855SS Dry Etcher 200 mm 1 as is where is
108604 TEL TOKYO ELECTRON Precio Nano FULLY AUTOMATED PROBER 300 mm 01.06.2012 1 as is where is immediately
110652 TEL Tokyo Electron Unity2e-85DPA Dry Etcher 200 mm 1 as is where is
108605 TEL Tokyo Electron ACT 8 Photoresist coater and developer track with 3C and 3D 200 mm 01.09.2001 1 as is where is immediately
110653 TEL Tokyo Electron Unity2E-85IEM Dry Etcher 200 mm 1 as is where is
108606 TEL Tokyo Electron I/F Block (Mark8 - i11D) Track interface block for Nikon i11D 200 mm 01.05.1997 1 as is where is
109118 TEL TOKYO ELECTRON Trias CVD 300 mm 01.06.2010 14 as is where is immediately
110654 TEL Tokyo Electron Unity2e-85TPATC Dry Etcher 200 mm 1 as is where is
110655 TEL Tokyo Electron UW300Z Wet 300 mm 1 as is where is
110656 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
110657 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
108614 TEL Tokyo Electron P8XL Fully Automated Prober 200 mm 01.06.2001 1 as is where is immediately
108106 TEL Tokyo Electron Alpha-303i-H Vertical Furnace, MTO 300 mm 1 as is where is
110666 TEL Tokyo Electron Interface module For Mark7 photoresist coater and developer spares 01.07.1997 1 as is where is immediately
108107 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, DCS MTO 300 mm 1 as is where is
108108 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, MTO 300 mm 1 as is where is
108109 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108110 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108111 TEL Tokyo Electron Indy-A-L Vertical Furnace, LPRO 300 mm 1 as is where is
108112 TEL Tokyo Electron Indy-B-L Vertical Furnace 300 mm 1 as is where is
108113 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108114 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108115 TEL Tokyo Electron Indy-B-L Vertical Furnace, DCS Nitride 300 mm 1 as is where is
108116 TEL Tokyo Electron Indy-I-L Vertical Furnace 300 mm 1 as is where is
108117 TEL Tokyo Electron Indy-I-L Vertical Furnace, LT ALD SIN 300 mm 1 as is where is
108118 TEL Tokyo Electron Interface module For Mark8 photoresist coater and developer spares 01.12.1995 1 as is where is immediately
108119 TEL Tokyo Electron LU-8209 Auto refill system for TEL ALPHA-8S TEOS spares 1 as is where is
108120 TEL Tokyo Electron TE8500 Dry Etch 200 mm 1 as is where is
108126 TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace spares 1 as is where is
110693 TEL TOKYO ELECTRON Mark 8 Polyimide Cure Track 200 mm 01.06.2009 1 as is where is immediately
103528 TEL Tokyo Electron EXPEDIUS DUMMY CLN 300 mm 1 as is where is
110698 TEL TOKYO ELECTRON Mark 5 Photoresist Track 150 mm 01.06.1991 1 as is where is immediately
91245 TEL TOKYO ELECTRON TRIAS CHAMBER ONLY LT TIN (N2/NH3/N2/CIF3) 300 mm 1 as is where is
93040 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2009 1 as is where is
93041 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
93042 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
91255 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
83832 TEL Tokyo Electron 028-016314-1 FITTING TUBE...1016-0 8 SPARES 1 as is where is immediately
91256 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
91258 TEL TOKYO ELECTRON ALPHA-303i K type 300 mm 1 as is where is
91259 TEL TOKYO ELECTRON Telformula(ver.0) optimal thermal processing 300 mm 1 as is where is
108929 TEL TOKYO ELECTRON Alpha 8 SZ Vertical Furnace, Fast Thermal Oxidation process 200 mm 01.06.2001 2 as is where is immediately
96386 TEL Tokyo Electron Lithius Lithography Coater Developer 31.05.2007 0 as is where is immediately
93059 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93060 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 150 mm 01.11.1992 1 as is where is immediately
103557 TEL Tokyo Electron ALPHA 303I K type / Nitride 300 mm 1 as is where is
93062 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2004 1 as is where is
103558 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 1 as is where is
93063 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93064 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103560 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
108936 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.04.2004 1 as is where is
93065 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103561 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
108937 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.06.2007 1 as is where is
103562 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103564 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103565 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103566 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
21135 TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL SPARES 1 as is where is immediately
103567 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103568 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103569 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103570 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103571 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103572 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103573 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103574 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103575 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108695 TEL Tokyo Electron TRIAS High K Metal CVD and ALD system, NiOx, HfOx process 300 MM 01.06.2012 1 inquire
103576 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103577 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103578 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103579 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103580 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103581 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
103582 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
110752 TEL Tokyo Electron ACT 12 Double Block DUV Photoresist Coater and Developer Track 300 mm 01.01.2009 1 as is where is immediately
108707 TEL Tokyo Electron Tactras RLSA (Chamber) Polysilicon Dry Etch Chamber 300 MM 01.06.2012 1 inquire
108966 TEL Tokyo Electron ACT 12 DOUBLE BLOCK TRACK with 3 PCT, 1 COT, 4 DEV, R to L 200 mm 01.06.2018 1 inquire immediately
103597 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103598 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103599 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108207 TEL Tokyo Electron VIGUS_NEST Dry ETCHing System 300 mm 01.06.2007 1 as is where is
103600 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
106416 TEL TOKYO ELECTRON INDY-PLUS-B-M VERTICAL CVD FURNACE 300 mm 01.07.2010 1 as is where is immediately
108208 TEL Tokyo Electron VIGUS_PX Dry Etcher 300 mm 01.06.2007 1 as is where is
103601 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108209 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
103602 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108210 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
103603 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108211 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
103604 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108212 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
109236 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
103605 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
109237 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
103606 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
109238 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109239 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109240 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109241 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109242 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
103611 TEL Tokyo Electron INDY ALD High-K 300 mm 1 as is where is
109243 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
103612 TEL Tokyo Electron INDY ALD High-K 300 mm 31.05.2011 1 as is where is
109244 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103613 TEL Tokyo Electron INDY Doped Poly 300 mm 1 as is where is
109245 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 300mm 1 as is where is
103614 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 31.05.2014 1 as is where is
109246 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Coat only Track 300mm 1 as is where is
103615 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109247 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103616 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109248 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103617 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109249 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Pro Z Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109250 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109251 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109252 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109253 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
103622 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2006 1 as is where is
109254 TEL TOKYO ELECTRON Expedius Batch Wafer Processing 300mm 1 as is where is
103623 TEL Tokyo Electron LITHIUS SINGLE BLOCK (for NIKON S205) 300 mm 31.05.2006 1 as is where is
109255 TEL TOKYO ELECTRON Expedius+ Batch Wafer Processing 300mm 1 as is where is
103624 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2004 1 as is where is
109256 TEL TOKYO ELECTRON Tactras DRM3 Dielectric Etch 300mm 1 as is where is
109257 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
18890 TEL TOKYO ELECTRON 201345 Operations manual 1 as is where is
103626 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109258 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
18891 TEL TOKYO ELECTRON 201336 Operations manual 1 as is where is
103627 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109259 TEL TOKYO ELECTRON TELFORMULA ALD High-K Vertical Furnace 300mm 1 as is where is
18892 TEL TOKYO ELECTRON 201341 Operations manual 1 as is where is
109260 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18893 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
91341 TEL TOKYO ELECTRON TELIUS SCCM T-3044SS DRY ETCHER 300 mm 31.05.2007 1 as is where is
103629 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
109261 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18894 TEL TOKYO ELECTRON 201339 Operations manual 1 as is where is
103630 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109262 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18895 TEL TOKYO ELECTRON 201335 Operations manual 1 as is where is
103631 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2005 1 as is where is
109263 TEL TOKYO ELECTRON TELFORMULA Oxide Vertical Furnace 300mm 1 as is where is
18896 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
103632 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
109264 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
18897 TEL TOKYO ELECTRON 201346 Operations manual 1 as is where is
109265 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
103634 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109266 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
109267 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
108500 TEL Tokyo Electron Expedius Batch Wafer Processing 300 mm 3 as is where is
109268 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
109269 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109270 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109526 TEL TOKYO ELECTRON ACT12 (4C4D) PHOTORESIST COATER AND DEVELOPER TRACK 300mm 01.06.2010 1 as is where is
109271 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109272 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
108505 TEL Tokyo Electron Tactras RLSA Poly Polysilicon Etch 300 mm 1 as is where is
109273 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
108506 TEL Tokyo Electron Tactras Vesta Polysilicon Etch 300 mm 6 as is where is
109274 TEL TOKYO ELECTRON Telius SP-305 SCCM Dielectric Etch 300mm 1 as is where is
108507 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
109275 TEL TOKYO ELECTRON Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm 1 as is where is
108508 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
108510 TEL Tokyo Electron Tactras Vigus Dielectric Etch 300 mm 1 as is where is
108511 TEL Tokyo Electron Tactras Vigus - Chamber Only Dielectric Etch 300 mm 1 as is where is
108513 TEL Tokyo Electron Tactras Vigus RK5 Dielectric Etch 300 mm 1 as is where is
108002 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, DRY OXIDE 200 mm 1 as is where is immediately
108003 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, TEOS PROCESS 200 mm 1 as is where is immediately
109027 TEL Tokyo Electron Alpha 8S-Z (AP) Vertical Furnace Oxide Process 200 mm 01.08.1999 1 as is where is immediately
108004 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, PYRO PROCESS 200 mm 1 as is where is immediately
108516 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108005 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, POCL3 PROCESS 200 mm 1 as is where is immediately
108517 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108523 TEL Tokyo Electron TELINDY Plus IRAD Oxide Vertical Furnace 300 mm 1 as is where is
109548 TEL Tokyo Electron P12XL Automatic Prober 300 mm 01.06.2005 63 as is where is immediately
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 300 mm 1 as is where is immediately
108525 TEL Tokyo Electron TELINDY Plus process TBD Vertical Furnace 300 mm 1 as is where is
108527 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 300 mm 4 as is where is
87287 TEL Tokyo Electron TELFORMULA Nitride Vertical LPCVD Furnace 300 mm 31.05.2004 1 as is where is immediately
106744 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
108536 TEL Tokyo Electron Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition) 300 mm 1 as is where is
106745 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
108537 TEL Tokyo Electron Unity Me 85 DRM Dielectric Etch 200 MM 01.06.2013 1 as is where is immediately
106746 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
108538 TEL Tokyo Electron Unity Me 85 SCCM Dielectric Etch 200 MM 1 as is where is
98299 TEL Tokyo Electron FORMULA Vertical LPCVD Furnace 300 mm 1 as is where is
106747 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
106748 TEL TOKYO ELECTRON LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2005 1 as is where is
106749 TEL TOKYO ELECTRON LITHIUS i+ High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
109565 TEL Tokyo Electron Unity SCCM Shin Oxide Etcher with qty 3 chambers 300 mm 01.05.2005 1 as is where is immediately
98302 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2006 1 as is where is
106750 TEL TOKYO ELECTRON TEL TRIAS CVD Cluster tool 300 mm 1 as is where is
98303 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2004 1 as is where is
106751 TEL TOKYO ELECTRON TEL TRIAS 200 SPA CVD Cluster tool 300 mm 1 as is where is
83553 TEMPTRONIC TP22-2 TEMPERATURE TEMP SET TP22-2 01.12.1986 1 as is where is immediately
83829 TEMPTRONIC THERMO SPOT THERMO SPOT HEATING HEAD SPARES 1 as is where is immediately
83575 TENCOR INSTRUMENTS AC 100V POWER SW AC 100 V 01.04.1996 1 as is where is
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW AC 100-120 V 01.11.1995 1 as is where is immediately
106752 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 01.06.1998 1 as is where is
82177 Teradyne 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester Spares 01.06.1997 4 as is where is immediately
106753 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 01.06.2000 1 as is where is
106754 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 1 as is where is
108301 Teradyne Catalyst Mixed SIGNAL TEST SYSTEM TEST 01.06.2000 1 as is where is
78361 Teradyne J971SP (Spares) Boards from VLSI test system Test 01.01.1997 1 as is where is immediately
83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC Spares 01.06.1997 1 as is where is immediately
82231 Teradyne 961-061-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
82232 Teradyne 961-128-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
108607 TERADYNE IP750EX AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing TEST 01.06.2012 1 as is where is immediately
80215 Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 Spares 2 as is where is immediately
78168 Teradyne 950-656-00 rev B PCB from test system Spares 01.06.2000 1 as is where is immediately
80216 Teradyne 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720 Spares 1 as is where is immediately
80217 Teradyne 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208 Spares 1 as is where is immediately
80218 Teradyne 950-541-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208 Spares 1 as is where is immediately
80219 Teradyne 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517 Spares 2 as is where is immediately
80220 Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX Spares 1 as is where is immediately
80221 Teradyne 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 Spares 1 as is where is immediately
80222 Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 1 as is where is immediately
80223 Teradyne 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 Spares 2 as is where is immediately
80224 Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 Spares 2 as is where is immediately
80225 Teradyne 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328 Spares 1 as is where is immediately
80226 Teradyne 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 Spares 1 as is where is immediately
80227 Teradyne 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547 1 as is where is
84840 Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E 1 as is where is immediately
83561 Teradyne 405-167-00 Power-One International Series Switching DC Power Supply SPM2E1E1S304 500W I/P 115-230v 12-7A O/P 28VDC 8.6A / 28VDC 8.6A Spares 01.06.1998 1 as is where is immediately
83566 Teradyne 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233) Spares 01.06.1997 2 as is where is immediately
108912 Teradyne UltraFLEX Automated Test System TEST 01.05.2011 1 as is where is immediately
81836 Teradyne 961-129-01 Teradyne J971 test system power control panel 01.04.1997 1 as is where is immediately
108213 TERADYNE CATALYST EDS/ TEST test 1 as is where is
108987 TERADYNE 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108988 TERADYNE 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108990 TERADYNE 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 01.06.1997 1 immediately
108991 TERADYNE 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108992 TERADYNE 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 1 as is where is immediately
80322 Teradyne 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517 1 as is where is immediately
108994 TERADYNE 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80323 Teradyne 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx Spares 1 as is where is immediately
80324 Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A Spares 1 as is where is immediately
80325 Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 2 as is where is immediately
80326 Teradyne 950-569-03 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 2 as is where is
80327 Teradyne 950-558-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509 Spares 1 as is where is immediately
80328 Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 1 as is where is immediately
80329 Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 Spares 1 as is where is immediately
80330 Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 1 as is where is immediately
80331 Teradyne 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 Spares 1 as is where is immediately
80332 Teradyne 950-217-04 Teradyne J971 PCB, 1 as is where is immediately
109006 TERADYNE 517-400-01 APMU Board for J750 tester SPARES 1 inquire immediately
54232 Teradyne J994 Memory Tester test 01.05.2000 1 as is where is immediately
82925 Teradyne 405-155-00 Power-One International Series DC Switching Power Supply, I/P 230VAC/23A, O/P 3.3V/35A / 28V/8.6A / 28V/8.6A / 15V/16A / 15V/16A Spares 01.10.1997 1 as is where is immediately
108886 TERRA UNIVERSAL 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks 1 inquire
108887 TERRA UNIVERSAL 1679-06-1 SST Dessicator Cabinet , 2ea Available SPARES 1 inquire
108888 TERRA UNIVERSAL Desiccator Box, 12"x11"x12" SPARES 1 inquire
108776 TERRA UNIVERSAL Dessicator Box 1 as is where is
108609 THERMONICS T-2500SEA Precision Temperature Forcing System 300 mm 01.05.2011 1 as is where is immediately
108889 THERMONICS T-2427 Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work ASSEMBLY 1 inquire
83831 TOKYO ELECRON 015 RELAY SPARES 1 as is where is immediately
83833 TOKYO ELECRON 011 SUPPORT.PCB..SQ-80 SPARES 1 as is where is immediately
108039 Tokyo Electron Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER SPARES 1 as is where is immediately
83640 TOKYO ELECTRON / CONTEC FC-SD70 flow meter FLOW CHECKER 1 as is where is immediately
110578 Trazar (Phoenix) AMU10A-1S Match SPARES 1 inquire
110579 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
110580 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
110581 Trikon Trikon M6 Match SPARES 1 inquire
110582 Trikon Trikon M6 Match SPARES 1 inquire
110583 Trikon Trikon M6 Match SPARES 1 inquire
106551 TT Vision T-224X TT Vision - Post Tape Inspection 1 as is where is
84370 Tylan MDVX-015 Throttle Valve, KF40, with vexta motor driver Spares 1 as is where is immediately
108890 ULTRACISION 860 Manual Wafer Prober, for up to 200mm Wafers 200 MM 1 inquire
106557 Ultron UH108 Ultron Tabletop Laminator 150 mm/200 mm 01.06.2005 1 as is where is immediately
106559 Ultron UHI08-12 Ultron Tabletop Laminator 200 mm / 300 MM 1 as is where is immediately
108610 UNAXIS SLR-720 RIE 150 mm 1 as is where is immediately
109532 UNAXIS SLR-720 REACTIVE ION ETCHER 200mm 01.06.2012 1 as is where is
102642 Unaxis/Balzers ZH620 Alumina Fill Fill Sputter Deposition System 125 mm 01.05.2000 1 as is where is immediately
84078 UNIPHASE 1103P-0187 HE NE Laser Spares 31.12.1994 1 as is where is immediately
87615 United Detector Technology, Inc. 40X Laser Power Meter Test 31.05.1992 1 as is where is immediately
110586 Vante Sealer Sealing SPARES 1 inquire
110587 Vante Sealer Sealing SPARES 1 inquire
110588 Vante Sealer Sealing SPARES 1 inquire
110589 Vante Sealer Sealing SPARES 1 inquire
111108 Varian E17545650 Stop, Liner Spares 5 inquire
111109 Varian E17903590 Guide 1, Liner Spares 5 inquire
111110 Varian E17904590 Liner, Entrance, Side 1 Spares 5 inquire
111111 Varian E17904820 Cover Plate, Center Liner Spares 5 inquire
111112 Varian E17544910 Liner, Exit, Side 2 Spares 5 inquire
111113 Varian E17699670 Liner, Exit, Side 3 Spares 5 inquire
111114 Varian E17904580 Liner, Centre, Side 1 Spares 5 inquire
111115 Varian E17452940 Inside End Block, 90 Deg Spares 5 inquire
111116 Varian E17903570 Liner, Entrance, Side 1 Spares 5 inquire
111117 Varian E17460333 Liner, Entrance, Source, 90 Degree Spares 5 inquire
111118 Varian E17699660 Liner, Exit, Side 1 Spares 5 inquire
111119 Varian E17903580 Liner, Entrance, Side 2 Spares 5 inquire
109584 Varian Vista PLAD EFEM Unit Only 300 mm 01.07.2006 1 as is where is immediately
111120 Varian E17391351 Shield, Resolver Chamber, 90 Exit, Impreg Spares 5 inquire
111121 Varian E17454233 Liner, End Top Plate, 90 Deg, Textured Spares 5 inquire
111122 Varian E17454243 Liner, End Bottom Plate, 90 Deg Spares 5 inquire
111123 Varian E17298153 Plate, Center, 90 Deg Magnet Spares 5 inquire
111124 Varian E17700663 Shield Wide Chamber Wall 90 Exit Spares 5 inquire
111125 Varian E17580463 Liner, Bottom Exit, 90 Degree Spares 5 inquire
111126 Varian E17580483 Liner, Top Exit, 90 Degree Spares 5 inquire
111127 Varian E17581793 Liner, Entrance, Top, 90 Deg Spares 5 inquire
111128 Varian E17581803 Liner, Entrance, Bottom, 90 Deg Spares 5 inquire
111129 Varian E17904803 Liner, Centre, Top Spares 5 inquire
111130 Varian E17904573 Liner, Centre, Bottom Spares 5 inquire
111131 Varian E17655533 Liner, Exit, Bottom, Textured Spares 5 inquire
111132 Varian E17903563 Liner, Entrance, Top Spares 5 inquire
111133 Varian E17903553 Liner, Entrance, Bottom Spares 5 inquire
111134 Varian 2420052 LAMP, INCANDESCENT, QUARTZ, TUNGSTEN, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111135 Varian E17291350 NUT 4-40, MO, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111136 Varian E17041850R2 FIL PFG V810 MC 1.2MM (THICKER), W, HIGH PURITY - XE Spares 5 inquire
111137 Varian E17291340R2 SCREW, CATHODE, COMMON, MO, HIGH PURITY - HC Spares 5 inquire
111138 Varian E17512680 KEY, ALIGNMENT, IHC MCS HE120, HIGH PURITY - VIISTA HE Spares 5 inquire
111139 Varian E17295210 SCREW SHCS 4-40, MO, HIGH PURITY - VISTA HP HC HCS EHP XE Spares 5 inquire
111140 Varian E17309460R3 PFG FILAMENT , �1.3MM W, HIGH PURITY - HCS Spares 5 inquire
111141 Varian E17414070 SHIELD,GROUND,TUBE,IHC SOURCE AL, HIGH PURITY - HCS Spares 5 inquire
111142 Varian E17299310 C-CLAMP, PFG, SUS, HIGH PURITY - HCS Spares 5 inquire
111143 Varian E17341380 SHIELD,FILAMENT POST, AL, HIGH PURITY - HCS Spares 5 inquire
111144 Varian E17292510 NUTPLATE BARCLAMP IHC SOURCE, MO, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111145 Varian E17293800 NUT FILAMENT TERMINAL PFG, MO, HIGH PURITY - XE/XER Spares 5 inquire
111146 Varian E17058140 NUT FILAMENT CLAMP, MO, HIGH PURITY - XE/XER Spares 5 inquire
111147 Varian E17292050R1 LINER END, CATHODE, MODIFIED, SHALLOW TEXTURE, W, HIGH PURITY - HCS Spares 5 inquire
111148 Varian E17286670 HOOK ARC CHAMBER, W, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111149 Varian E17292050 E17292050 LINER END CATHODE TUNGSTEN - VIISta HP/HC/HCS Spares 5 inquire
111150 Varian E17297920MOD4 FILAMENT, IHC SOURCE 0.05 MM W, MODIFIED, W, HIGH PURITY - HCS Spares 5 inquire
111151 Varian E17292070 LINER END REPELLER TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111152 Varian E17292050 LINER END CATHODE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111153 Varian KBE1228 BEARING KIT RMS IDLER - - Spares 5 inquire
111154 Varian E17297920R9 FILAMENT,ELS,SLC, W, HIGH PURITY - HCS Spares 5 inquire
111155 Varian E17296360 CLAMP,CATHODE STRAP,IHC SOURCE AL, HIGH PURITY - HCS Spares 5 inquire
92468 Varian E11040440 Rev 7 Secondary workstation for implanter Spares 01.07.1995 1 as is where is immediately
111156 Varian E17284820 PLATE INSULATOR MOUNTING SINGLE, AL, HIGH PURITY - HC Spares 5 inquire
111157 Varian E17292040 LINER SIDE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111158 Varian E17284830 PLATE, INSUL MOUNTING, FILAMENTS, IHC SOURCE, AL, HIGH PURITY - HCS Spares 5 inquire
111159 Varian E17292180 LINER BASE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111160 Varian E17285280 REPELLER IHC SOURCE, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111161 Varian E17089940 SUPPORT ARC CHAMBER CENTER, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111162 Varian E17089930 SUPPORT ARC CHAMBER LEFT HAND, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111163 Varian E17089931 SUPPORT ARC CHAMBER RIGHT HAND, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111164 Varian E17292540 CLAMP FILAMENT IHC 80, TZM, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111165 Varian E17314880 REPELLER ASSY, IHC 3000, VER 3, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111166 Varian E17292540R3 CLAMP FILAMENT IHC 80 FOR FILAMENT ELS SLC, TZM, HIGH PURITY - HCS Spares 5 inquire
111167 Varian E17289910 REPELLER ASSY IHC SOURCE VER. 2, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111168 Varian E17347420R1 LINER SHELL, ANGLE CONTROL AL, HIGH PURITY - HCS Spares 5 inquire
111169 Varian E17324960 CLAMP .05 DIA FILAMENT PFG, TA, HIGH PURITY - XE/XER Spares 5 inquire
110658 Varian 3190 Sputtering System 100 mm 1 as is where is immediately
111170 Varian E17312370 NOZZLE TUNGSTEN BASE PLATE IHC V80, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111171 Varian E17327340 PLATE END CATHODE IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111172 Varian E17327340 E17327340 PLATE END CATHODE IHC 80 VER 3 - VIISta HP/HC/HCS Spares 5 inquire
111173 Varian E17312370 E17312370 NOZZLE TUNGSTEN BASE PLATE IHC V80 - VIISta HP/HC/HCS Spares 5 inquire
111174 Varian E17295630R5 E17295630R5] CATHODE SHAFLESS - VIISta HP/HC/HCS except GE Spares 5 inquire
111175 Varian E17220310 END CAP IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111176 Varian E17220340 PLATE SIDE IHC ARC CHAMBER V810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111177 Varian E17304690 END CAP REPELLER IHC 810 VER 2, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111178 Varian E17512690 PLATE, SIDE, IHC MCS, HE120, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111179 Varian E17327330 PLATE END REP IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
99404 Varian 350D (Spares) Implanter (Spare Parts) spares 1 as is where is immediately
111180 Varian E17335820R2 SOURCE APERTURE, BACK PLATE, HC, MODIFIED EXTENDED W, W, HIGH PURITY - HCS Spares 5 inquire
111181 Varian E17295630R7 CATHODE USED ON PH3, 9 MM - VIISTA HE Spares 5 inquire
111182 Varian W220916001-23R23 CATHODE SHAFTLESS,GE(II),F21,BULLET TYPE,GEF4,9MM,SIDE 1.2MM,W, HIGH PURITY - HC Spares 5 inquire
111183 Varian E17327340R3 PLATE, END, CATHODE, 25MM, W, HIGH PURITY - HCS Spares 5 inquire
111184 Varian W220916001-23R24 WAA2301131 CATHODE SHAFTLESS, GE(II), F21, BULLET TYPE, GEF4, 7.6MM W, SIDE 0.68MM, HIGH PURITY - HCS Spares 5 inquire
111185 Varian E17295630R23 CATHODE ASSY,MODIFIED (BOTTOM 7.6MM), W, HIGH PURITY - HCS Spares 5 inquire
111186 Varian WW2091030-23 PH3 SOURCE SPECIES CATHODE PM KITS, W, HIGH PURITY - XER/EHP Spares 5 inquire
111187 Varian E17335820R4 APERTURE, BACK PLATE (EXTENDED & NON-GUIDE) HC, W, HIGH PURITY - HC Spares 5 inquire
111188 Varian E17441100R4-1 APERTURE, BACK PLATE MAIN, IHC, 3IN1 HCS EXTENDED 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111189 Varian E17327320 PLATE SIDE IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111190 Varian E17512700R1 ELECTRODE,SIDE,IHC REDUCE 5MM, MCS SOURCE, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111191 Varian E17292520 BAR, CATHODE, IHC 80, W, HIGH PURITY - HC Spares 5 inquire
111192 Varian E17063221 FEED THRU ASSY DUAL VAPORIZER, W, HIGH PURITY - VIISTA 810 EHP_VAP Spares 5 inquire
111193 Varian E17335810 APERTURE INSERT IHC 300MM VIISTA HC, HIGH PURITY - HC Spares 5 inquire
111194 Varian E17388860R3 INSERT, APERTURE, 2IN1, UPGRADED HP 2.1 MM THICK, W, HIGH PURITY - HC Spares 5 inquire
111195 Varian E17302120 BAR FIL CLAMP SHORT V810, MO, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
108892 VARIAN 929-0081 Star Cell Ion Pump Power Unit SPARES 1 inquire
111196 Varian E17389870R20 APERTURE, INSERT, MATCHING TO NO TRENCH, IHC HCS W, HIGH PURITY - HCS Spares 5 inquire
111197 Varian E17292530 BAR FILAMENT VER2 IHC 80, MO, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111198 Varian E17441100R4 APERTURE, INSERT, IHC, 3IN1 HCS 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111199 Varian E17302110 BAR CATHODE AND REPELLER CLAMP LONG V810, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111200 Varian E17284350R10 BAR CLAMP CATHODE R1 IHC, TZM, HIGH PURITY - VIISTA HC Spares 5 inquire
111201 Varian E17220370 PLATE FRONT IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111202 Varian E17288190R1 BAR CLAMP CATHODE R1 EHP, TZM, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111203 Varian E17441100R1 E17441100] [E17441100R1] APERTURE, BASE LINER HCS INSERT UPGRADE EXTENDED - VIISta HCS Spares 5 inquire
111204 Varian E17327310 BASE PLATE,IHC 80,VER 3, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111205 Varian E17284350R3 SHAFTLESS CATHODE CLAMP, TZM, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111206 Varian E17343750 INSERT SUPPRESSION ELECTRODE 300MM 5DEG HE, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111207 Varian E17343760R1 SUPP ELECTRODE UPGRADE INSERT HIGH ( BIG APERTURE HOLE) HC W, HIGH PURITY - VISTA HCS Spares 5 inquire
111208 Varian E17343760 INSERT SUPPRESSION ELECTRODE 300MM 5 DEG HE, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111209 Varian E17385700 INSERT ELECTRODE SUPP VERSION 2 LE HCS, W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111210 Varian E17385690 INSERT ELECTRODE SUPP VERSION 2 HE HCS, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111211 Varian E17335830 APERTURE BASE PLATE IHC 300MM VIISTA HC V80, W, HIGH PURITY - HC Spares 5 inquire
111212 Varian E17348680 BASE SUPPORT SUPPRESSION ELECTRODE, W, HIGH PURITY - HC Spares 5 inquire
111213 Varian E17389860 E17389860] [E17389860R2] APERTURE, BASE HCS MAIN UPGRADE EXTENDED - VIISta HCS Spares 5 inquire
111214 Varian E17389860R2 APERTURE, BASE HCS MAIN UPGRADE EXTENDED, HIGH PURITY - VISTA HCS HC Spares 5 inquire
111215 Varian E17285160 APERTURE BASEPLATE IHC702 W MAIN UPGRADE, HIGH PURITY - HC Spares 5 inquire
111216 Varian E17273990 ELECTRODE SUPRESSION INSERT TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
91249 VARIAN MBB W CVD 200 mm 1 as is where is
111217 Varian E17335830R1 APERTURE BASEPLATE H706 W MAIN UPGRADE, EXTENTED, W, HIGH PURITY - VIISTA HC Spares 5 inquire
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
111218 Varian E17386490 BASE,SUPPORT,SUP ELEC,4 DEG 70MM X 4.7MM, HIGH PURITY - VISTA HCS Spares 5 inquire
111219 Varian E17288230R9 BASEPLATE,IHC 810, NONVAPORIZER, MI, W, HIGH PURITY - XER/EHP Spares 5 inquire
111220 Varian E17288230 BASEPLATE IHC 810, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111221 Varian E17369550 STEM,S/OPEN,ELECTRODE PLATE,70MM MO, HIGH PURITY - HCS Spares 5 inquire
111222 Varian E17359110 STEM SUPPORT ELECTRODE HEAD, MO, HIGH PURITY - HCS Spares 5 inquire
111237 VARIAN E17790370 Block, Support, Clipper - VARIAN Spares 5 inquire
111238 VARIAN E17790360 Block, Support, Clipper - VARIAN Spares 5 inquire
111239 VARIAN E17452930 Outside End Block, 90 Deg - VARIAN Spares 5 inquire
111240 VARIAN E11383290 Liner, Beam Dump, 90 Degree - VARIAN Spares 5 inquire
111241 VARIAN E17094620 BUSHING BURNTHRU SENSOR, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111242 VARIAN E17094620 E17094620 Bushing Burnthru Sensor, Ceramic - VIISta 80 HP/HC/HCS Spares 5 inquire
111243 VARIAN E17322160 STANDOFF CERAMIC GRAPHITE PROFILER, CERAMIC, HIGH PURITY - VISTA HC Spares 5 inquire
111244 VARIAN E17063980 SCREW LAMP INSULATOR, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111245 VARIAN E59000002 STANDOFF CERAMIC 1/2"L 3/8"OD 6-32 THD, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111246 VARIAN F9826001 INSULATOR, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111247 VARIAN E17296000 INSULATOR CATHODE FEEDRHRU, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111248 VARIAN G17697980R1 INSULATOR SINGLE SHIELD INNER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111249 VARIAN E17280850 INSULATOR SUPPRESSION ASSY, CERAMIC, HIGH PURITY - XE Spares 5 inquire
110738 VARIAN VIISION 200 Plus High Current Implanter 150 MM 01.06.1997 1 as is where is immediately
111250 VARIAN G17697980R2 INSULATOR SINGLE SHIELD OUTER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111251 VARIAN E17276700R2-1 INSULATOR SINGLE SHIELD INNER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111252 VARIAN E17275900R3 INSULATOR SMALL, 20KV, D2, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111253 VARIAN E17276700R2-2 INSULATOR SINGLE SHIELD OUTER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111254 VARIAN E17276700R2 INSULATOR, LONG, 20KV,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111255 VARIAN E17125680 INSULATOR, CERAMIC, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111256 VARIAN E17270580 INSULATOR FILAMENT PLASMA FLOOD GUN, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111257 VARIAN E17540540R3 UMBRELLA INSULATOR PFG CERAMIC CAP KIT, CERAMIC, HIGH PURITY - VISTA HCS Spares 5 inquire
111258 VARIAN G17697980R3 INSULATOR TWIN SHIELD INNER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA HCS Spares 5 inquire
111259 VARIAN E17296280 INSULATOR DUAL MOUNT IHC SOURCE, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111260 VARIAN G17697980R4 INSULATOR TWIN SHIELD OUTER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA HCS Spares 5 inquire
111261 VARIAN E17540540 INSULATOR, FILAMENT, PFG, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111262 VARIAN E17540540 E17540540] INSULATOR, FILAMENT, PFG - VIISta HP/HC/HCS Spares 5 inquire
111263 VARIAN E17540540R5 INSULATOR, FILAMENT,PFG, MODIFIED, MI, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111264 VARIAN E11087960R1 70 DEGREE WAVE GUIDE�HP, TEXTURE KITS CG Spares 5 inquire
110763 VARIAN EHPi500 Medium Current Ion Implanter 200 mm 1 as is where is immediately
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
72133 Varian E17015570 SCANACT,COUNTER WEIGHT Spares 2 as is where is immediately
72134 Varian E11002430 WAFER COOLING CONTROLLER Spares 2 as is where is immediately
72136 Varian E17064301 BEAM SHIELD Spares 1 as is where is immediately
72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV Spares 2 as is where is immediately
72140 Varian E11001320 REV B TARGET, FOCUS, FARADAY Spares 31.10.1995 1 as is where is immediately
72141 Varian E11002183 PEDESTAL ASSY,MULTI 150/200MM Spares 1 as is where is immediately
72143 Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN Spares 1 as is where is immediately
72144 Varian E17026680 PLATE,GROUND,GRAPHSCAN Spares 1 as is where is immediately
72145 Varian E17101600 COVER,DUAL VAPORIZER Spares 1 as is where is immediately
72146 Varian BEAM SHIELD Spares 1 as is where is immediately
72147 Varian VARIAN GRAPHITES Spares 3 as is where is immediately
72148 Varian SOURCE COVER Spares 1 as is where is immediately
72149 Varian MKS HPS VALVE 62161 Spares 1 as is where is immediately
72150 Varian ISOLATION VALVE PARTS Spares 1 as is where is immediately
72151 Varian various VARIAN SOURCE PARTS Spares 5 as is where is immediately
72152 Varian MKS HPS VALVE 69542 Spares 1 as is where is immediately
109277 Varian VIISta 810 Medium Current Implanter 300mm 1 as is where is
92387 Varian E11030450 REV 3 VERT SCAN ACTUATOR Spares 31.05.1995 2 as is where is immediately
111338 VARIAN E17335810R13 APERTURE,INSERT,HC, NON-GUIDE,3MM THICK, IMPREGNATED, GPH, CG - HC Spares 5 inquire
108779 VARIAN SD331 Mechanical Vacuum Pump, 2ea Available 1 inquire
108780 VARIAN 936-70 SP Helium Leak Detector 1 as is where is
108540 Varian VIISta HC High Current Implanter 300 mm 01.06.2006 3 as is where is
110590 Varian Varian Power Supply SPARES 1 inquire
110591 Varian Varian Match Match SPARES 1 inquire
109600 Veeco Dimension 3100 Atomic Force Microscope 1 inquire
103383 Vero Electronics 116-010069H Monovolt PK120 Power Supply, +5V 20A Spares 30.04.2001 4 as is where is immediately
108611 Vision Semicon VSP-88A(H) Oven 200 mm 01.05.2005 1 as is where is immediately
108612 Vision Semicon VSP-88H Oven 200 mm 01.05.2005 1 as is where is immediately
108613 Vision Semicon VSP-88H Oven 200 mm 01.05.2007 1 as is where is immediately
84233 Viton 43-2-131 O-ring seal, Roth & Rau Spares 1 as is where is immediately
108895 VLSI STANDARDS INC. Various Step Height Standards, Various Models, 12ea Available SPARES 1 inquire
77171 WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC Spares 01.11.2006 1 as is where is immediately
98475 Watkin Johnson UTC 800 Atmospheric wafer handling robot( WJ-999) 150-200mm 3 as is where is immediately
108981 Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB Spares 01.06.1994 1 as is where is immediately
108782 WENTWORTH LABS HOP "Hands Off Probe" Micropositioner, 2ea Available 1 as is where is
109033 WEST BOND 10490 Convertible Bonder , Wedge to Ball Assembly 1 as is where is immediately
109034 WEST BOND 2416B Automatic Wedge Bonder Assembly 1 as is where is immediately
108783 WEST-BOND 7200A Manual Epoxy Die Bonder Assembly 1 as is all rebuilt immediately
94605 Xantrex XFR 40-70 NV8200P DC Power Supply Magnet SPARES 1 as is where is
53033 Yamatake honeywell WLS302 switch spares 1 as is where is immediately
109608 Yield Engineering YES-450PB6-2P Polyimide Bake Oven 1 inquire
109609 Yield Engineering YES-450PB Polyimide Bake Oven 1 inquire
109614 Yield Engineering YES-15 HMDS Vapor Prime Oven 1 inquire
109616 Yield Engineering 58 HMDS Vapor Prime Oven 1 inquire
109617 Yield Engineering 15F HMDS Vapor Prime Oven 1 inquire
109618 Yield Engineering LP#-M3 HMDS Vapor Prime Oven 150 mm 1 inquire
109619 Yield Engineering 15 HMDS Vapor Prime Oven 150 mm 1 inquire
109621 Yield Engineering 58SM HMDS Vapor Prime Oven 150 mm 1 inquire
110739 Yushin WSS Demount Tool DM5 Fully Automatic Wafer demounter 200-300 mm 01.06.2011 1 as is where is immediately
110740 Yushin WSS8001D Fully Automatic Wafer demounter 200 MM 01.06.2006 1 as is where is immediately
71497 ZENITH ZPS-400 Multiple voltage power supply spares 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry