fabsurplus.com

List of K equipment available for sale at fabsurplus.com

The following are the items available for sale related to K at SDI fabsurplus.com. To inquire about the K equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of K items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
111360 Accretech / TSK UF3000EX 01.01.2022 1 as is where is immediately
109571 Accretech / TSK UF3000 Fully Automated Prober 300 mm 01.05.2009 9 as is where is immediately
111359 Accretech / TSK UF3000EX Prober with HDD 1 as is where is immediately
95398 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95399 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95400 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95401 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95402 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95403 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
54226 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 7 as is where is immediately
108333 Accretech/TSK UF3000 Production Wafer Prober 300 mm 7 as is where is
10544 Agilent / Verigy / Keysight 4261A LCR METER TEST 1 as is where is immediately
76605 Agilent / Verigy / Keysight 41501B SMU and Pulse Generator Expander 2 units TEST 01.05.2001 1 as is where is immediately
18868 Agilent / Verigy / Keysight 5335A Universal Frequency Counter Electronics Test and Measurement 1 as is where is immediately
18869 Agilent / Verigy / Keysight E4915A Crystal impedance LCR meter Electronics Test and Measurement 1 as is where is immediately
79588 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2007 1 as is where is immediately
79589 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2008 1 as is where is immediately
108709 Akrion Goldfinger Velocity 4 Single wafer cleaning system 300 MM 01.06.2007 1 inquire immediately
108722 AKRION V2-SA.3200 Wet Process Station Including Tanks 150 mm 01.12.1996 1 as is where is immediately
108723 AKRION V2-HL.2000 Acid Wet Bench 150 mm 1 inquire immediately
84766 AKT NAR 1800/8 G6 ITO Vertical In-line Sputtering Machine for LCD / TFT panel G6 730 mm x 920 mm (G6) 30.11.2013 1 as is where is immediately
108712 AKT 1600 PECVD Gen 2 PECVD deposition system Gen 2 01.05.2005 1 inquire immediately
109357 AMETEK SGA200X25E-1DAA RF GENERATOR Spares 15 as is where is
108728 ASYMTEK Millenium 620 Glue Dispense Tool 1 as is where is
83589 Beckhoff BK9000 Ethernet interface module Spares 1 as is where is immediately
83594 Beckhoff KL9050 PLC Module 1 as is where is immediately
84213 Beckhoff KL9020 Ethernet interface Spares 2 as is where is immediately
109073 Brooks ABM 205 Robot Spares 1 as is where is immediately
109078 Brooks Gemini 2 Vacuum back end (VBE) with equipment front end module (EFEM) 200-300 mm 01.06.2015 1 as is where is immediately
109079 Brooks 139750-77 Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM 200-300 mm 01.06.2015 1 as is where is immediately
109080 Brooks 241251-0007 Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065 200 mm and 300 mm 01.06.2015 1 as is where is immediately
106804 Brooks ATR-8 robot alone LAM tool 300mm 1 as is where is immediately
106805 Brooks load port Fixload 6M, Vision, Fixload 25 300mm 10 inquire
106851 Brooks MAG 7 Wafer Handling Robot Spares 1 as is where is immediately
108392 Brooks MTX2000 Wafer Sorter 300 mm 1 as is where is
109160 Brooks MTX 4000 Wafer Sorter 300mm 1 as is where is
109161 Brooks MTX2000 Wafer Sorter 300mm 1 as is where is
109162 Brooks MTX2000 Wafer Sorter 300mm 1 as is where is
110719 Brooks MTX2000/2 Wafer Sorter 300 MM 01.06.2001 1 as is where is immediately
106892 Brooks MAG 7 Wafer Handling Robot qty 2 Spares 2 as is where is immediately
106642 BROOKS METARA 7200 Overlay 200 mm 01.06.1996 1 as is where is
106643 BROOKS SORTER MTX 2000 Wafer Handler 200 mm 1 as is where is
106644 BROOKS SORTER MTX 2000 Wafer Handler 200 mm 1 as is where is
83862 Brooks TT1ENR2-1 Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8 Spares 1 as is where is immediately
102553 Brooks DBM 2407 V2 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
110784 Brooks Magnatran 7 Wafer Handling Robot Spares 1 as is where is immediately
108739 Brooks Multitran 5 3 Axis Robot, Rebuilt spares 1 as is where is immediately
106948 Brooks Fixload 6M SMIF Load Port 300 mm 8 as is where is immediately
74209 Brooks 5850 MFC Mass flow controller spares 01.01.2009 2 as is where is immediately
108024 BROOKS MTX2000 WAFER SORTER 300 mm 01.06.2003 1 as is where is
106806 Brooks / PRI ABM 205 single arm atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106807 Brooks / PRI ABM/ATM 405 single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106808 Brooks / PRI ABM/ATM 407B single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106809 Brooks / PRI ATM 204/207 single arm atmospheric wafer handling robot 200/300mm 6 as is where is immediately
106810 Brooks / PRI ATM 305/307 single arm atmospheric wafer handling robot 200/300 mm 2 as is where is immediately
106812 Brooks / PRI DBM 2706 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106813 Brooks / PRI EDC-2400/2800 PRI robot controller(dual arm robot) 150/200/300 mm 5 as is where is immediately
106814 Brooks / PRI PRE 200/200B/201/201B wafer pre-aligner 200mm 2 as is where is immediately
106815 Brooks / PRI PRE 300/300B/301/301B wafer pre-aligner 300 mm 2 as is where is immediately
106105 Brooks / PRI ATM-207-2S-CE Single arm atmospheric wafer handling robot 200/300mm 01.03.2004 1 as is where is 2 weeks
98449 Brooks / PRI ABM 405 single arm atmospheric wafer handling robot 200/300mm 3 as is where is immediately
98453 Brooks / PRI DBM 2406 Dual arm Atmospheric wafer handling robot 200/300mm 4 as is where is immediately
98456 Brooks / PRI Mag 8 Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001 300 mm 1 as is where is immediately
98457 Brooks / PRI PRE 200/200B wafer pre-aligner 200 mm 3 as is where is immediately
102555 Brooks / PRI ESC-200/212/212B/222/218 PRI robot controller( single arm robot) 150/200/300 10 as is where is immediately
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 2 as is where is immediately
103822 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 1 inquire immediately
108740 BROOKS AUTOMATION ATM-305 3 Axis Robot, Refurbished spares 1 as is where is immediately
108042 Brooks etc Spare Parts Various Robots, RF generators and Rf Matches for sale Spares 1 as is where is immediately
109557 BRUKER Quantax 200 Energy Dispersive X- Ray Spectrometer Laboratory 1 as is where is immediately
108025 BRUKER VERTEX 80V FT-IR Spectrometer 1 as is where is
110694 BRUKKER D8 Fabline MH Atomic Force Microscope 150 mm 01.06.2009 1 as is where is immediately
77154 Cam York ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM Spares 1 as is where is immediately
83874 CKD M4SB080-M5 AHM-850 SOLENOID VALVE 5 as is where is immediately
83870 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 0 as is where is immediately
83871 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 1 as is where is immediately
103731 CKPlas TS-MT510-J, TS-MT610-J Transfer Solar Wafer Cassette Solar 1 as is where is immediately
109040 DEK Horizon 03iX Screen Printer SMT 01.12.2018 3 as is where is immediately
110675 Dektak 3ST Stylus Profileometer 150 mm 1 as is all rebuilt immediately
106817 DNS / Sokuda RF3S Photoresist coater and developer( 5C5D) track 300 mm 1 as is where is immediately
110621 DNS / Sokudo RF3 Lithography Coater and Developer 300 mm 1 as is where is
108220 DNS / SOKUDO RF3 Photoresist Coater and Developer Track 300 mm 01.06.2019 1 inquire immediately
83876 DOMNICK HUNTER QR 010 REV.4.0 FILTER 30.09.2007 2 as is where is immediately
69878 Edwards / Seiko Seiki STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 VACUUM PUMP 01.10.1999 1 as is where is immediately
108954 Empak PH9150 Wafer Transportation Box Spares 150 MM 45 as is where is
108955 Empak PH9150 Wafer Transportation Box 6" - CLEANED Spares 150 MM 26 as is where is immediately
84260 FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM. 1
103814 Fujikin FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA Spares 01.05.2005 1 as is where is immediately
106475 Furukawa UVW-102M FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM N/A 1 as is where is
107016 Genmark Various genmark robots and Edwards turbo pumps Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot Spares 01.06.2013 9 as is where is immediately
108818 GENMARK S08R Robot Controllers, PN 990010622, 2ea Available SPARES 1 inquire
98463 Genmark GB4/3L Atmospheric wafer handling robot with controller 200 mm 1 as is where is immediately
98464 Genmark GB8-MT-80050102 Single arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
101042 Genmark AVR series Cleanroom Vacuum Robot only Spares 1 as is where is immediately
106945 Genmark GB3 Wafer handling Robot Robot 1 as is all rebuilt immediately
79892 Gossen Konstanter IEC625 Laboratory Power supply Gossen Konstanter UOP Electronics Test and Measurement 1 as is where is immediately
110596 Hesse & Knipps BJ 820 Magazine to magazine transport system for wirebonder Assembly 01.05.2013 1 as is where is immediately
109282 Hesse & Knipps BJ855 and BJ820 Wedge Bonders , magazine to Magazine Assembly 01.06.2019 26 as is where is immediately
111376 Hewlett Packard HP3455A Digital Voltometer 1 as is where is immediately
111377 Hewlett Packard HP54542A Oscilloscope 1 as is where is immediately
83579 HP HEWLETT PACKARD 9145A PC HP HEWLETT PACHARD 9145 115/230V 28.02.1990 1 as is where is immediately
82181 hps mks 90 degree flange HPS MKS Stainless Steel VACUUM FITTING 1 as is where is immediately
13025 IKO LWES 15 C1 R460 S2 Linear way with single bearing block,set of 4 Spares 4 as is where is immediately
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83618 IKO NIPPON THOMPSON T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83619 IKO NIPPON THOMPSON BCS H S2 LINEAR BEARING AND RAIL 30.11.2003 1 as is where is immediately
83626 IKO NIPPON THOMPSON PS1 LINEAR BEARING 30.11.2003 1 as is where is immediately
84222 IKO NIPPON THOMPSON LWL 12 C2 LINEAR BEARING AND RAIL Spares 01.11.2008 1 as is where is immediately
106917 K AND S SPRINT HIGHSPEED AUTOMATIC WIRE BONDER ASSEMBLY 1 as is where is immediately
18866 K AND S 98060-0000-001-01 Manual for Model 8060 automatic wedge bonder 1 as is where is
109028 K AND S 8028 Automatic Ball Bonder Assembly 01.03.2000 1 as is where is immediately
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components Assembly 01.05.2010 1 as is where is immediately
111380 K&S Power Fusion HT1 Wedge Bonder 1 as is where is immediately
108823 K&S 4123 Manual Wedge Bonder ASSEMBLY 1 inquire
108824 K&S 4124 Manual Thermosonic Ball Bonder ASSEMBLY 1 inquire
108825 K&S 4129 Manual Deep Access Wedge Bonder ASSEMBLY 1 as is all rebuilt
108826 K&S 4526 Manual Wedge Bonder, with Vertical Wire Feed ASSEMBLY 1 inquire
108827 K&S 6497 Semi-Automatic Flip Chip Epoxy Die Bonder ASSEMBLY 1 as is all rebuilt 1 month
108828 K&S 8020 Automatic Ball Bonder ASSEMBLY 1 inquire
108829 K&S 8028 Automatic Ball Bonder ASSEMBLY 1 inquire
108830 K&S 8060 Automatic Wedge Bonder ASSEMBLY 1 inquire
108832 K&S 4524AD Manual Thermosonic Ball Bonder ASSEMBLY 1 inquire
108755 K&S 9388 Laser Pro Automatic Ball Attach System 1 as is where is
108756 K&S 1471 Automatic wedge bonder Assembly 2 as is where is immediately
108757 K&S 1488 Plus Automatic Gold Ball Bonder Assembly 01.05.1997 1 as is where is immediately
84231 Kalrez O-RING AS-568A o-ring seal Spares 2 as is where is immediately
110609 Karl Suss MA200 Mask Aligner with CIC1000 lamp housing 200 mm 1 as is where is immediately
110611 KARL SUSS MJB-3 Mask Aligner 1 as is where is immediately
110612 KARL SUSS MA56 Mask Aligner 1 as is where is immediately
110619 KARL SUSS PA200HS Prober 200 mm 1 as is where is
109597 Karl Suss MA150e Mask Aligner with TSA 150 mm 01.06.2007 1 inquire
108833 KARL SUSS MA-4 Mask Aligner, IR Backside Alignment with Single Microscope, for up to 4" Wafers 1 inquire
108834 KARL SUSS MA-45 Mask Aligner, Front Side Alignment with Splitfield Microscope, for up to 4" Wafers 1 inquire
109602 Karl Suss MJB3 350W Mask Aligner 75mm 1 inquire
108835 KARL SUSS PM-8 Analytical Wafer Prober 1 inquire
109603 Karl Suss MA200 Compact Mask Aligner with TSA 200 mm 01.06.2010 1 inquire
109607 Karl Suss MA200 Compact Mask Aligner with TSA 200 mm 01.06.2010 1 inquire
108073 Karl Suss MA150 Mask Aligner 125 mm 1 as is where is
108074 Karl Suss Mask 9inch Mask for 8inch Aligner spares 1 as is where is
109612 Karl Suss MA8/BA8 Gen 3 TSA/BSA Mask Aligner 200 mm 01.06.2010 1 as is all rebuilt
109615 Karl Suss MJB4 350W Mask Aligner 100 mm 1 inquire
99394 KARL SUSS MA 150 Mask aligner (For spares use) 150 mm 1 as is where is immediately
100939 Karl Suss MA 25 Mask Holder spares 2 as is where is immediately
108906 Karl SUSS MA200 Mask Aligner 150 mm, 200 mm 01.05.1995 1 as is where is
110699 Karl Suss MA 150 Mask Aligner 150 mm 1 as is where is immediately
108908 Karl Suss MA200 Mask Aligner 200 mm 01.05.2000 1 as is where is
108909 Karl SUSS MA200 Mask Aligner 150 mm-200 MM 01.05.1992 1 as is where is immediately
108939 Karl Suss MA 56 Mask Aligner 1 inquire 1 month
110742 Karl Suss Gamma Photoresist Spray Coater and Developer 200 mm 01.06.2016 6 as is where is
110748 Karl Suss ACS Photoresist Spray Coater and Developer 200 mm 01.06.2021 1 as is where is
108758 KARL SUSS MA6 Mask Aligner 50-150 mm 1 as is all rebuilt 1 month
106897 Karl Suss Micro Tec MA200 Mask Aligner with CIC1000 lamp housing -suitable for spare use 200 mm 1 as is where is immediately
106915 Karl Suss Micro Tec PA-200 Wafer Prober Station 01.06.2001 1 as is where is immediately
106916 Karl Suss Micro Tec PA200 Wafer Prober Station 01.06.2006 1 as is where is immediately
106798 Kawasaki 3NS411B-F006 Robot with cable and Controller Spares 01.06.2008 1 as is where is immediately
98468 Kawasaki 3NX540B-A302 atmospheric wafer robot( AMAT producer) 300 mm 1 as is where is immediately
98469 Kawasaki NS410B-A002 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is immediately
103208 Keller VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air Facilities 01.10.2011 1 as is where is immediately
106818 Kensington WFH4C wafer robot with aligner 150/200mm 1 as is where is immediately
106819 Kensington WFH4D wafer robot with aligner 150/200mm 1 as is where is immediately
84388 KEYENCE FU-12 PHOTO SENSOR 4 as is where is immediately
84392 KEYENCE PS SERIES PHOTO SENSOR 6 as is where is immediately
84393 KEYENCE PS SERIES PHOTO SENSOR 1 as is where is immediately
84394 KEYENCE PS SERIES PHOTO SENSOR 3 as is where is immediately
109189 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester TEST 1 as is where is
109190 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester TEST 1 as is where is
108836 KINETIC SYSTEMS Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36" SOLAR 1 inquire
108759 KINETIC SYSTEMS Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h) 1 as is where is
109075 KLA AIT FUSION UV (SPARES) Hard Disk Drive with software for KLA AIT Fusion UV spares 01.11.2007 1 as is where is immediately
108569 KLA 2131 Inspection System 200 mm 01.05.1995 1 as is where is immediately
84000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 SPARES 31.01.1996 1 inquire immediately
86304 KLA 1007 Chuck, prober, 6" gold chuck assembly 200 mm 1 inquire immediately
53026 KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system spares 1 as is where is immediately
87086 KLA 655-6616141-00 Wafer stage, kla 21xx 200 mm 1 as is where is immediately
109106 KLA Surfscan AIT 3 Wafer particle Inspection 200 mm 01.06.2005 1 as is where is immediately
34115 KLA 740-401-320 P-N 073-401-320 AIRLOCK 1
110659 KLA UV1250SE Thin film meansurement system 125 mm - 200 mm 01.08.1998 1 as is where is immediately
110660 KLA 7700 Surfscan wafer particle detection system 100 - 200 mm 1 as is where is immediately
34118 KLA Ceramic table 8" Ceramic Table & Misc Parts 1
34119 KLA 7700M (SPARES) Lens PCB 042763 Spares 1
34121 KLA 7700m robot Dist. PCB 1 as is where is immediately
34122 KLA 7700m Keyboard Assy 1
34123 KLA 7700m 253537 Rev A PCB Microscope Dist 1
110668 KLA UV1280SE Thin Film Thickness Measurement System / Ellipsometer Up to 200 mm 01.01.2000 1 as is where is immediately
84301 KLA 710-658036-20 Alignment Processor board REV C3 Spares 1 as is where is immediately
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares 1 as is where is immediately
84303 KLA 710-658046-20 PRocessor Board REV E0 Spares 1 as is where is immediately
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares 2 as is where is immediately
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares 2 as is where is immediately
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares 1 as is where is immediately
106066 KLA 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only spares 01.06.1990 1 as is where is immediately
84307 KLA 710-659412-00 Mass Memory PCB REV C0 Spares 1 as is where is immediately
34131 KLA 7700m Pittmann Motor 94337528 Microscope driver 1
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
34132 KLA 7700m 181137 Drive Assy PCB 1 as is where is immediately
84309 KLA 710-658086-20 PC Board, REV E0 Spares 1 as is where is immediately
34135 KLA 7700m 174203 Rev D PCB Flat finder pwd Driver 1 as is where is immediately
110679 KLA Surfscan 6400 Unpatterned wafer surface particle inspection system 100-200 MM 01.06.1994 1 inquire immediately
87642 KLA 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400 Spares 1 as is where is immediately
34138 KLA 7700m (spares) p/n 252948 Rev B Motor Lamp Drive SFS 76 SPARES 1 as is where is immediately
34139 KLA 7700m Front Panel PCB 1 as is where is immediately
110686 KLA eDR-5200 Defect Review Sem 300 mm 01.06.2009 2 as is where is immediately
34143 KLA 7700m 181830 Rev D, National Instruments AT-GPIB/TNT 1
34144 KLA 7700m EMO Switch 1
106080 KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB Spares 1 as is where is immediately
34145 KLA 7700m Leadscrew and Servo Motor CMC MT2115-014DF 1
106081 KLA 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB Spares 1 as is where is immediately
106082 KLA 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB Spares 1 as is where is immediately
34147 KLA 7700m Mirror Assy with Fiber Optic 1
106083 KLA 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB Spares 1 as is where is immediately
106084 KLA 710-101836-02 Rev G3 AUTOFOCUS 2 PCB Spares 1 as is where is immediately
34154 KLA 7700m 201989 Concave Mirror 1
84076 KLA 050-654234-00 Lamp Micro Line Filament w/ clips 30.09.1996 1 as is where is immediately
34160 KLA 7700m Detector Assy 1
34161 KLA 7700m Mouse & PCB 240C 1
106865 KLA 2830 (PARTS) EFEM ONLY with Yaskawa XURCM9206 robot 300 mm 01.02.2010 1 as is where is immediately
34162 KLA 7700m Convex Glass Plate 1
34163 KLA 7700m two cables 7 brackets 1
34164 KLA 7700 Misc Bracket 1
34167 KLA 7700m Photomultiplier 1
106106 KLA 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106107 KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106108 KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106109 KLA 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31614 KLA 8100 PICOAMP 11 P/N 720-02964-000 2
106110 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 01.06.1991 1 as is where is immediately
31615 KLA 8100 (Spares) wafer tabel for CD SEM P/N 731-09404-047 Rev 2 spares 1 as is where is immediately
106111 KLA 710-028014-01 E ADBA PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31616 KLA 8100 Plate Wafer P/N 731-08507-004 31.12.2000 2
106112 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106113 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31618 KLA 8100 Block, Pivot ,Keybd P/N 740-03389-000 10
106114 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31619 KLA 8100 Bracket retainer keybd P/N 740-03390-000 10
106115 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31620 KLA 8100 Interface cable set P/N 810-09072-002 REV A Spares 2 as is where is immediately
106116 KLA 710-039524-00 A AP 1 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31621 KLA 8100 MCA Module P/N 720-02847-000 1
106117 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108421 KLA eDR-5210 SEM - Defect Review (DR) 300 mm 4 as is where is
31622 KLA 8100 P/N 740-05635-000 REV A 2
106118 KLA 710-028014-00 B3 Image Memory Address PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31623 KLA 8100 T Piece P/N 471-07945-000 1
106119 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31624 KLA 8100 Ground Strap P/N 810-04308-005 12
106120 KLA 710-037887-01 B BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108424 KLA eS805 E-beam Inspection 300 mm 1 as is where is
31625 KLA 8100 Ground Strap P/N 810-04308-004 10
106121 KLA 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31626 KLA 8100 Bracket P/N 740-07893-000 1
106122 KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108426 KLA SM-300/SpectraMap Film Thickness Measurement System 1 as is where is
31627 KLA 8100 P/N 740-05728-000 2
106123 KLA 710-036380-00 C IAS parameter 2 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31628 KLA 8100 P/N 740-07892-000 Rev A 2
106124 KLA 710-023279-00 H2 04/16 level dump PCB for KLA 2xx reticle inspection system Spares 01.09.1991 1 as is where is immediately
108428 KLA Surfscan 7700 Particle Measurement 200 MM 1 as is where is
31629 KLA 8100 Flex Pipe 1
106125 KLA 710-040042-00 XB e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31630 KLA 8100 PCB 830-10172-000 Rev 3 1
106126 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108430 KLA TP300 Implant Dosing Measurement 200 MM 1 as is where is
31631 KLA 8100 Bracket P/N 740-05415-000 Rev A 1
106127 KLA 710-029694-00 XF e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108431 KLA VisEdge CV300R Edge Defect 300 mm 1 as is where is
31632 KLA 8100 Festo PU-3 Duo air Pipe 1
106128 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31633 KLA 8100 Plastic Disc 1
106129 KLA 710-039924-00 A1 SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106130 KLA 710-028287-01 G1 servo 4 II PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106131 KLA 710-023599-01 B1 Computer IF (RF) PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106132 KLA 710-037718-00 C SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106133 KLA 710-023273-00 C 512 K ram, 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106134 KLA 710-037717-00 A FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106135 KLA 710-029924-00 REV F Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106136 KLA 710-029927-00 REV E Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106137 KLA 710-037889-00 Rev XA Preprocessor I/O PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106138 KLA 710-036106-00 REV D Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system Spares 01.09.1992 1 as is where is immediately
27803 KLA 8100 KLA 8100 SEM Part 740-03565-000 5
27804 KLA Defect Highlighting PC Defect Highlighting PC for KLA 2xx reticle inspection system spares 1 as is where is immediately
106140 KLA 710-037699-00 XA1 gain/offset testpoint board PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31645 KLA 259 (Spares) Set of 2 Objectives and 2 illuminator lenses for KLA 259 reticle inspection system Spares 01.06.1991 4 as is where is immediately
106141 KLA 710-102570-02 Rev 1 Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106142 KLA 210e and 259 (Spares) Encoders, 2500 LPI for KLA 2xx reticle inspection system Spares 2 as is where is immediately
27807 KLA 720-05887-000 MCP Detector Control Chassis spares 3 as is where is immediately
106143 KLA 740-064388-000 RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS 5 INCH/6 INCH 01.06.1999 1 as is where is immediately
110751 KLA OP 2600 THIN FILM MEASUREMENT 200 mm 1 as is where is immediately
27809 KLA 259 (spares) Trinocular Microscope Head for KLA 2xx reticle inspection system SPARES 01.05.1992 1 as is where is immediately
106146 KLA 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
83635 KLA 712-023914-00 rev B CCD TV camera for KLA 2XX reticle inspection system SPARES 1 as is where is immediately
108989 KLA 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX Spares 01.08.1996 1 as is where is immediately
4288 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP Spares 1 as is where is
4289 KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER Spares 2 as is where is
4290 KLA VLSI 845 DUPONT VERIMASK for KLA 2xx reticle inspection system Spares 01.12.1990 1 as is where is immediately
110786 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm 1 inquire immediately
110787 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm / 300 mm 1 inquire immediately
110788 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm 1 inquire immediately
1736 KLA 710-101836-02 AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system SPARES 01.01.1985 1 as is where is immediately
106189 KLA 740-401-320 AIRLOCK ASSEMBLY PCB Spares 01.09.1989 1 as is where is immediately
106191 KLA 900-01003-120 Assy. Software System 8xxx V3.1.2 Spares 01.06.2000 1 as is where is immediately
106968 KLA SP2 (spare parts) Complete set of calibration standard wafers for a KLA SP2 200 mm 01.11.2022 1 inquire immediately
109537 KLA 2351 Brightfield Wafer Defect Inspection System 200 mm 01.06.2002 1 as is where is immediately
108775 KLA AlphaStep 300 Profilometer 1 inquire
108009 KLA UV1250SE Wafer Film measurement / Ellipsometer 200 mm 01.10.1996 1 as is where is immediately
111346 KLA Quantox XP Electrical Measurement 300 mm 01.10.2006 1 as is where is immediately
111348 KLA P16 Plus Profileometer 200 mm 01.06.2005 1 as is where is immediately
84216 KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
84218 KLA 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
109562 KLA 2367 Brightfield wafer defect inspection system 200 mm 01.09.2006 1 as is where is immediately
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
95117 KLA - Tencor 259 Image Digitizer Assembly SPARES 2 as is where is immediately
111395 KLA -TENCOR P-22H Step Height Measurement Tool (Refurbished) 1 inquire
34117 KLA -TENCOR 7700 CASSETTE PLATE + PCB 8" SPARES 01.09.1995 1 immediately
34126 KLA -Tencor 7700m (Spares) Mirror Curved SPARES 1 as is where is immediately
34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 SPARES 1 as is where is immediately
83562 KLA -TENCOR 7700M (Spares) CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan Spares 1 as is where is immediately
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM SPARES 01.06.1998 1 as is where is immediately
108075 KLA Tencor 2131 Wafer Defect Inspection 150 mm 1 as is where is
106574 KLA TENCOR SEM-3800C Scanning Electron Microscope N/A 1 as is where is
34140 KLA TENCOR 7700M COMPUTER HARD DISK 1 as is where is immediately
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS SPARES 01.02.1997 1 as is where is immediately
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 150 WATTS 01.02.1997 1 as is where is immediately
83577 KLA TENCOR 7700 M MODEL 2214-30SLOTT D1,D2,D3 01.09.1998 1 as is where is immediately
31612 KLA TENCOR 8100 PART 740 05584 000 C CONNECTOR INTERFACE 3 as is where is
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 230 WATTS 01.02.1997 1 as is where is immediately
108165 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1991 1 as is where is
108166 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1990 1 as is where is
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems SPARES 1 as is where is immediately
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM Spares 1 as is where is immediately
21670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 SPARES 01.02.1996 1 inquire immediately
21671 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY SPARES 01.02.1996 1 inquire immediately
106674 KLA TENCOR Viper 2430 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is immediately
106675 KLA TENCOR Viper 2435 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is
106676 KLA TENCOR Viper 2435XP Macro Defect Inspection 300 mm 01.06.2004 1 as is where is
106677 KLA TENCOR CI T1X0 package inspection system 300 mm 1 as is where is
106678 KLA TENCOR CI T1X0 package inspection system 300 mm 01.06.2006 1 as is where is
83895 KLA TENCOR 7700M (Spares) PIN DIODE PRE AMP PCB SPARES 31.05.1992 1 as is where is immediately
106679 KLA TENCOR 2132 (mainbody only) Wafer Inspection System 150 mm,200 mm 01.06.1995 1 as is where is
106681 KLA TENCOR P-2 Profileometer 150 mm,200 mm 01.06.1996 1 as is where is
83898 KLA TENCOR 7700M (Spares) Optical sub-assembly SPARES 1 as is where is immediately
83899 KLA TENCOR 7700M (Spares) Lens assembly SPARES 2 as is where is immediately
83900 KLA TENCOR 7700 Mechanical part SPARES 1 as is where is immediately
32230 KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32231 KLA TENCOR Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure MANUAL 1 as is where is immediately
32232 KLA TENCOR surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32233 KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
106481 KLA Tencor AIT I Patterned Surface Inspection System 150 mm/200 mm 01.06.1995 1 as is where is immediately
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem SPARES 3 as is where is immediately
34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M spares 1
34116 Kla-Tencor AIT 1 Network Card PCB SPARES 1 as is where is immediately
84411 KLA-Tencor 7700M (Spares) Electro-optical assembly for KLA Surfscan 7600 and 7700 SPARES 31.05.1995 1 as is where is immediately
109059 KLA-Tencor 289825A Sony XC-711 Video Camera and cable set SPARES 1 as is where is immediately
109598 KLA-Tencor Surfscan 5500 Wafer Particle Detection 200 mm 1 inquire
103206 KLA-Tencor 5xxx Spare Part LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System Spares 31.05.1995 1 as is where is immediately
91435 KLA-Tencor AIT Particle Review 200 mm 31.05.1997 1 as is where is
53035 KLA-Tencor 2132 (8 inch Wafer Chuck Assembly) Ceramic Wafer chuck, 8" spares 1 as is where is immediately
53036 KLA-Tencor Compumotor M575L11 Stepping motor drive spares 1 as is where is immediately
109613 KLA-Tencor AIT Surfscan wafer particle detection system 150 mm 1 inquire
109107 KLA-Tencor PROMETRIX FT-650 WAFER FILM THICKNESS MEASUREMENT SYSTEM 01.06.1991 1 as is where is immediately
76358 KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB Spares 1 as is where is immediately
91464 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 200 mm 1 as is where is immediately
91466 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 1 as is where is
34125 KLA-Tencor 7700m (spares) Mirror Assy Flat spares 1 as is where is immediately
34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler spares 1 as is where is immediately
34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control SPARES 1 as is where is immediately
84054 KLA-TENCOR 8100 (Spares) Motorized slit assembly for CD SEM Spares 2 as is where is immediately
34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical spares 1 as is where is immediately
34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB SPARES 1 as is where is immediately
4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE FACILITIES 1 as is where is immediately
4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 200 mm 1 as is where is immediately
83810 KLA-Tencor 7700M (Spares) leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope spares 31.05.1997 1 as is where is immediately
34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB spares 1 as is where is immediately
34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 spares 1 as is where is immediately
34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS spares 1 as is where is immediately
34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror SPARES 1 as is where is immediately
34165 KLA-TENCOR 3005503 OPTICAL ASSY for kla 7700 and 7600 surfscans Spares 1 as is where is immediately
84086 KLA-TENCOR ASSY.CBL.GND EATHING STRAP 01.06.2000 14 as is where is immediately
34166 KLA-TENCOR AIT 1 (SPARES) Lens Assembly in transport box SPARES 1 as is where is immediately
84087 KLA-TENCOR 7700M (Spares) Mirror assembly for KLA 7700 m surfscan Spares 1 as is where is immediately
84088 KLA-TENCOR 7700M (Spares) Optical Lens assembly from a KLA 7700 M Surfscan Spares 1 as is where is immediately
84089 KLA-TENCOR 7600M ELECTRO-OPTICAL ACTUATOR ASSY Spares 28.02.1998 1 as is where is immediately
84091 KLA-TENCOR 113387 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers Spares 31.05.1995 1 as is where is immediately
84092 KLA-TENCOR 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132 200 mm 31.05.1998 1 as is where is immediately
83837 KLA-TENCOR 7700M (Spares) EMO Button for KLA 7700M SPARES 1 as is where is immediately
84093 KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM Spares 1 as is where is immediately
31613 KLA-Tencor 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000 spares 5 as is where is immediately
110725 KLA-Tencor ARCHER 5 Optical Overlay measurement system 150-200 mm 01.06.2001 1 as is where is immediately
110726 KLA-Tencor OmniMap AutoRS75TC Wafer Resistivity Measurement 150-200 mm 01.06.1996 1 as is where is immediately
109191 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
110727 KLA-Tencor UV1080 Thin film measurement system 150-200 mm 01.06.2000 1 as is where is immediately
109192 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
109193 KLA-Tencor 2830 Brightfield Inspection 300mm 1 as is where is
105866 KLA-Tencor Surfscan 7700m Keyboard Assy 1 as is where is immediately
109194 KLA-Tencor AIT UV Darkfield Inspection 300mm 1 as is where is
109195 KLA-Tencor ASET-F5x Film Thickness Measurement System 300mm 1 as is where is
109196 KLA-Tencor Puma 9130 Darkfield Inspection 300mm 1 as is where is
109197 KLA-Tencor Puma 9130 Darkfield Inspection 300mm 1 as is where is
27790 KLA-Tencor 259 (Spare parts) PCBs for reticle inspection system 1 as is where is immediately
109198 KLA-Tencor Surfscan SP2 Wafer Particle Measurement System 300 mm 01.12.2010 1 as is where is immediately
74643 KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems spares 01.06.1993 1 as is where is immediately
1691 KLA-TENCOR 259 (spare parts) Reticle Inspection - SPARE PARTS up to 7 inch 01.12.1991 1 inquire immediately
27806 KLA-Tencor TFE Gun Controller Gun Controller Chassis Part No 720-05888-000 Spares 2 as is where is immediately
21667 KLA-Tencor JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN SPARES 31.01.1998 1 inquire immediately
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 0,4-0,7 MPa 31.05.1998 3 as is where is immediately
83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM SWAGELOK 152086 01.06.2000 1 as is where is immediately
18598 KLA-TENCOR 5xxx Spare Parts Set of Spare Parts from a KLA 5015 150 mm 01.12.1990 1 as is where is immediately
83623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM spares 01.06.2000 1 as is where is immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
83630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM spares 01.12.2000 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18871 KLA-TENCOR 546860-27 Operation manual 1 as is where is
52151 KLA-Tencor Hamamatsu R1924A Photomultiplier spares 01.03.2007 1 as is where is immediately
83896 KLA-TENCOR Pittman 9433F528 Servo Drive motor for KLA 7700 Surfscan SPARES 1 as is where is immediately
18872 KLA-TENCOR 563234-27 Reference manual 1 as is where is
83897 KLA-Tencor 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M SPARES 01.08.1995 1 as is where is immediately
18873 KLA-TENCOR 990-039410-00 210 e-series theory 1 as is where is
84409 KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT SPARES 1 as is where is immediately
18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 1 as is where is
84410 KLA-TENCOR 7700M SENSOR OPTICAL SPARES 1 as is where is immediately
83643 KLA-Tencor RIBBON CABLE SPARES 2 as is where is immediately
18875 KLA-TENCOR 563226-27 Software version 5.0 manual 1 as is where is
18876 KLA-TENCOR 546879-27 Software version 4.1 manual 1 as is where is
83645 KLA-Tencor 2xx (SPARES) Control Paddles for KLA 2xx reticle inspection system Spares 01.06.1992 1 as is where is immediately
83901 KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan) SPARES 1 as is where is immediately
83902 KLA-Tencor 5xxx Spare Part Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY Spares 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
103366 KLA-TENCOR 7700M (Spares) Robot Arm, for up to 8 inch wafers, for KLA 7700 M Spares 31.05.1993 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18634 KLA-Tencor POWER SUPPLY LAMBDA Rev. A 01.06.1992 1 as is where is
18635 KLA-Tencor 851391-101 LH RESEARCH REV.B 01.06.1992 1 as is where is
83918 KLA-TENCOR 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan SPARES 1 as is where is immediately
71632 KLA-TENCOR 2122 Brightfield Wafer Defect Inspection System 200 mm 01.04.1996 1 as is where is immediately
108760 KLA-Tencor Surfscan 4500 Wafer Particle Inspection System 2 to 6 inch 01.05.1986 1 as is where is immediately
83929 KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM spares 31.05.1998 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
83932 KLA-TENCOR 195430 rev B Detector Assembly for a KLA 7700M Surfscan SPARES 30.11.1985 1 as is where is immediately
96998 KLA-Tencor Surfscan AIT Patterned Wafer Inspection 200 mm 01.05.1997 1 as is where is immediately
53227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 SPARES 01.12.1989 1 as is where is immediately
84215 KLA-TENCOR 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M Spares 01.05.1993 1 as is where is immediately
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
84220 KLA-TENCOR 253537 Rev A Microscope Distribution PCB, for Surfscan 7600 and 7700 series Spares 1 as is where is immediately
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 spares 01.06.1998 2 as is where is immediately
80185 KNF Neuberger 057359 Diphagrahm service kit for N40.3FT pump 01.01.2012 2 as is where is immediately
74239 KNF NEUBERGER N 840.3 FT.18 DIAPHRAGM VACUUM PUMP LABOPORT D-79112 spares 1 as is where is
103386 KNIEL System CPD 5.12/6.3 Power Supply, 321-019-02.00 Spares 30.04.2001 2 as is where is immediately
84224 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 1 as is where is immediately
84258 KOGANEI SLIM AIR CYLINDER 4 as is where is immediately
84261 KOGANEI PDA S AIR CYLINDER 2 as is where is immediately
84265 KOGANEI KA CMA AIR CYLINDER 1 as is where is immediately
84266 KOGANEI TWDA AIR CYLINDER 1 as is where is immediately
84270 KOGANEI SLIM AIR CYLINDER 2 as is where is immediately
83846 KOGANEI JDAS32X5-165W AIR CYLINDER Spares 2 as is where is immediately
83873 KOGANEI BDAS10X30 SPARES 2 as is where is immediately
83880 KOGANEI AME07-E2-PSL VACUUM EJECTOR 8 as is where is immediately
83891 KOGANEI A200-4E1 AIR VALVE 2 as is where is immediately
84223 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 3 as is where is immediately
84055 KOGANEI LTD KA.CMA MINI CYLINDER 3 as is where is immediately
84056 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
84057 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
108570 Kokusai DJ-1206VN Vertical Furnace 300 mm 01.05.2007 1 as is where is immediately
90149 Kokusai DD-1223 V-DF Vertical Furnace, Wet Oxidation 300 mm 01.06.2013 1 as is where is immediately
108837 KOKUSAI VR70 Resistivity Test Tool 1 inquire
109108 Kokusai DJ-1236VN-DF Vertical Low-Pressure CVD System 300 mm 01.01.2016 1 as is where is immediately
110667 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.06.2005 1 inquire immediately
103534 Kokusai DD1223VN Pyro 300 mm 1 as is where is
103535 Kokusai DJ1223VN ALD 300 mm 1 as is where is
103536 KOKUSAI ZESTON-lll DD-1223V Dielectric CVD 300 mm 01.05.2011 1 as is where is
110706 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.09.2005 1 inquire immediately
91254 KOKUSAI QUIXACE2 ALD TiN 300 mm 1 as is where is
109199 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm 1 as is where is
109200 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm 1 as is where is
108433 Kokusai Quixace II ALD Nitride Vertical Furnace 300 mm 3 as is where is
109201 Kokusai Quixace II Anneal Vertical Furnace 300mm 1 as is where is
108434 Kokusai Quixace II ALD Oxide Vertical Furnace 300 mm 1 as is where is
109202 Kokusai Quixace II Anneal Vertical Furnace 300mm 1 as is where is
109203 Kokusai Quixace II Nitride Vertical Furnace 300mm 1 as is where is
109204 Kokusai Quixace II Poly Vertical Furnace 300mm 1 as is where is
109205 Kokusai Quixace Ultimate ALD SiO2 Vertical Furnace 300mm 1 as is where is
108438 Kokusai Quixace II Poly Vertical Furnace 300 mm 8 as is where is
108441 Kokusai Quixace Nitride Vertical Furnace 300 mm 1 as is where is
106673 KOKUSAI DJ1206VN CVD FURNACE SiN 300 mm 01.06.2010 1 as is where is
106682 KOKUSAI DJ1206VN CVD FURNACE SiN 300 mm 01.06.2007 1 as is where is
106683 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106684 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106685 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106686 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106687 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106688 KOKUSAI QUIXACE2 Vertical Furnace, Nitride deposition 300 mm 1 as is where is
83931 KOKUSAI M 152 WRL THERMO COUPLE 11 as is where is immediately
109026 Kokusai DJ-853V-8BL J3 VERTICAL CVD FURNACE, NITRIDE PROCESS 150 mm 01.06.2000 1 as is all rebuilt immediately
108006 Kokusai DD-823V VERTICAL CVD FURNACE, H2 ANNEAL PROCESS 200 mm 2 as is where is immediately
108007 Kokusai DJ-853V-8BL J2 VERTICAL CVD FURNACE, HTO PROCESS 200 mm 01.09.2000 1 as is where is immediately
108920 Komatsu G20K4-1 248 nm (KrF) excimer laser LASER 01.05.2001 2 as is where is immediately
106689 KORNIC KORONARTP1200+ RTP 300 mm 01.06.2010 1 as is where is
106690 KORNIC RTP-600M RTP, Wafer Diffusion 100 mm, 150 mm 1 as is where is
84773 KOYO LINBERG VF5100B Set of Cleanroom Manuals spares 31.05.1998 1 as is where is immediately
106895 KTC BT-30 Die and ball shear tester 1 as is where is
84228 Kurt J Lesker ISO160AVCRT Pump centering ring Spares 2 as is where is immediately
84229 Kurt J Lesker ISO100AVCRT Pump centering ring Spares 2 as is where is immediately
84230 Kurt J Lesker ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange Spares 2 as is where is immediately
84282 Kurt J Lesker QF-SSC-ALM Single claw clamp Spares 8 as is where is immediately
84212 Kurt J Lesker QF160-SAVR Pump centering ring Spares 2 as is where is immediately
110325 Kurt J. Lesker AT3 Match SPARES 1 inquire
109436 KYOSAN 15Z-S1 RF GENERATOR Spares 1 as is where is
109437 KYOSAN 15ZI-M RF GENERATOR Spares 2 as is where is
109438 KYOSAN HPK06ZI-TE7-SINGLE RF GENERATOR Spares 17 as is where is
109439 KYOSAN HPK15ZD RF GENERATOR Spares 1 as is where is
109440 KYOSAN JFK85TH-TC6 RF GENERATOR Spares 20 as is where is
111364 LAM RESEARCH /ONTRACK DSS 200 Scrubber Series 1 1 as is where is immediately
106820 Lam Research Ontrak Synergy Post CMP cleaner with HEPA mini-environment 200 mm 1 as is where is immediately
15066 LAMBDA PHYSIK Novaline K2005 248 nm excimer laser for ASML /300 facilities 01.06.2002 1 as is where is immediately
110747 LPKF Vitrion S5000II Laser Induced Deep Etching 200 mm 01.06.2022 2 as is where is
94599 MagneTek DS 316 GPD 503 SPARES 1 as is where is
110341 MKS DCG 100E OPTIMA Generator SPARES 1 inquire
110342 MKS MW-5060 Match SPARES 1 inquire
110343 MKS MW-5060 Match SPARES 1 inquire
110344 MKS MW-5060 Match SPARES 1 inquire
110345 MKS MW-5060 Match SPARES 1 inquire
110346 MKS MWJ-1013 Match SPARES 1 inquire
111378 MKS FI20620 Astex Power supply 1 as is where is immediately
111405 MKS AX7685-20-C Astek Astron E Remote Plasma Cleaner 1 as is where is immediately
108849 MKS NW100 Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover SPARES 1 inquire
87366 MKS 653B-13064 Type 653 Throttle Control Valve DN100 (4 INCH ID FLANGE) Spares 1 as is where is immediately
77940 MKS 627BX01MCC1B Baratron 1mbar (CAPACITANCE MANOMETER) Spares 1 as is where is immediately
109448 MKS ASTRON i Remote Plasma Cleaner Spares 2 as is where is
109449 MKS ASTRONex Remote Plasma Cleaner Spares 1 as is where is
109450 MKS AX3060-1 Remote Plasma Cleaner Spares 1 as is where is
109451 MKS AX3063 Remote Plasma Cleaner Spares 4 as is where is
109452 MKS AX3063ULVJ Remote Plasma Cleaner Spares 1 as is where is
109453 MKS AX3151 Remote Plasma Cleaner Spares 2 as is where is
109454 MKS AX7650 Remote Plasma Cleaner Spares 1 as is where is
109455 MKS AX7657-2 Remote Plasma Cleaner Spares 5 as is where is
109457 MKS AX9004 Remote Plasma Cleaner Spares 1 as is where is
109458 MKS B-3013 RF GENERATOR Spares 1 as is where is
109459 MKS B-5002 RF GENERATOR Spares 1 as is where is
109460 MKS B-5002 RF GENERATOR Spares 1 as is where is
109461 MKS D13449 RF GENERATOR Spares 3 as is where is
109462 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109463 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109464 MKS DCG-200Z RF GENERATOR Spares 2 as is where is
109465 MKS DCG-200Z RF GENERATOR Spares 6 as is where is
109466 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109467 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109468 MKS DCG-200Z RF GENERATOR Spares 2 as is where is
109469 MKS DCS80-13E RF GENERATOR Spares 4 as is where is
109470 MKS FI20160-1 RF GENERATOR Spares 1 as is where is
109471 MKS FI20162 RF GENERATOR Spares 2 as is where is
109472 MKS FI20162 RF GENERATOR Spares 1 as is where is
109473 MKS FI20162-1 RF GENERATOR Spares 6 as is where is
109474 MKS FI20608 RF GENERATOR Spares 1 as is where is
109475 MKS FI20609 RF GENERATOR Spares 1 as is where is
109476 MKS FI20612 RF GENERATOR Spares 3 as is where is
109477 MKS GEW-3540 RF GENERATOR Spares 2 as is where is
109478 MKS GHW-12Z RF GENERATOR Spares 2 as is where is
109479 MKS GHW-50Z RF GENERATOR Spares 1 as is where is
109480 MKS GHW-85A RF GENERATOR Spares 1 as is where is
109481 MKS GHW-85A RF GENERATOR Spares 1 as is where is
109482 MKS GL-139 RF GENERATOR Spares 1 as is where is
109483 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109484 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109485 MKS OEM-12 RF GENERATOR Spares 2 as is where is
109486 MKS OEM-1250 RF GENERATOR Spares 9 as is where is
109487 MKS OEM-25-11481 RF GENERATOR Spares 1 as is where is
109488 MKS OEM-25G RF GENERATOR Spares 1 as is where is
109489 MKS OEM-25N-01 RF GENERATOR Spares 1 as is where is
109490 MKS OEM-6A-01 RF GENERATOR Spares 1 as is where is
109491 MKS OEM-6A-11491-51 RF GENERATOR Spares 1 as is where is
74164 MKS 154-0100P High Vacuum Valve, NEW spares 31.12.2005 1 as is where is immediately
109492 MKS OEM-6AM-1B RF GENERATOR Spares 2 as is where is
109493 MKS OEM25A-21091-51 RF GENERATOR Spares 3 as is where is
109494 MKS PC-3G RF GENERATOR Spares 1 as is where is
69856 MKS Type 624 Baratron pressure transducer with trip points, range 1000 Torr, CE spares 1 as is where is immediately
106085 MKS ASTeX Astron FI80131-R Remote Plasma Source Spares 1 as is where is immediately
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
106703 N&K ANALYZER 5700-CDRT Wafer Inspection System 1 as is where is
106704 n&k Technology 6700-CDRT Wafer Inspection System 1 as is where is
109057 Nikon NSR-S307E 193 nm (ArF) excimer exposure system 300 mm 1 as is where is immediately
106503 Nikon Auto Wafer Loader for Microscope Inspection WAFER INSPECTION MICROSCOPE 150 mm/200 mm 1 as is where is immediately
109072 Nikon NSR-S208D 248 nm (KrF) excimer exposure system 300 mm 01.06.2010 1 as is where is immediately
110610 NIKON OptiStation 3 Wafer Inspection Microscope 200 mm 1 as is where is immediately
110618 NIKON Optistation 3 Wafer Inspection Microscope 01.07.1994 1 as is where is immediately
103456 Nikon NWL860-TBM Wafer Auto Loader 150 mm, 200 mm 1 as is where is immediately
111396 Nikon R2205H Set of test reticles spares 4 as is where is immediately
108854 NIKON NWL-860 Automatic Microscope Wafer Loader for up to 200mm Wafers 1 inquire
108087 Nikon 4S061-690-1 Linear Motor Controller spares 1 as is where is
108855 NIKON Optiphot 200 Wafer Inspection Microscope, B/Dfield & DIC Microscopy, Motorized Turret with 5ea Objectives, Prior ProScan Programmable Stage, 200mm X 200mm XY Travel, Color CCD Camera & More 1 inquire
108088 Nikon 4S586-580 NEST spares 1 as is where is
108089 Nikon Irradiance Meter included G-line sensor spares 1 as is where is
108090 Nikon Irradiance Meter included i-line sensor spares 1 as is where is immediately
108092 Nikon OPTIPHOT-200 Wafer inspection microscope 200 mm 3 as is where is
108093 Nikon OPTIPHOT-300 Wafer inspection microscope 300 mm 1 as is where is
108094 Nikon OPTIPHOT-88-AC IN Wafer inspection microscope 200 mm 1 as is where is
108095 Nikon R1505A test reticle spares 2 as is where is immediately
108096 Nikon R2005MF(VER3.09N), R2005HMF(VER5.09) test reticle spares 2 as is where is
108097 Nikon R2205HA, HB, HDIS, HMF test reticle spares 4 as is where is
108098 Nikon VT286 Digital spares 2 as is where is
110663 Nikon NSR-SF140 I-LINE SCANNER 300 mm 1 as is where is immediately
110664 Nikon NSR-S204B 248 nm (KrF) excimer exposure system 200 mm 1 as is where is immediately
110690 Nikon NSR 2205 I11D i line Stepper 200 mm 01.06.1997 1 as is where is immediately
108643 Nikon Eclipse L200 Wafer Inspection Microscope 150 mm/200 mm 01.06.2006 1 as is where is immediately
110691 Nikon NSR 2205 I9C i line Stepper 200 mm 01.06.1994 1 as is where is immediately
110692 Nikon NSR S204B 248 nm DUV Scanner 200 mm 1 as is where is immediately
110695 Nikon NWL 860 TMB Wafer Inspection Microscope with wafer autoloader 150 mm, 200 mm 1 as is where is immediately
108904 Nikon NSR-S609B ArF 193 nm scanner (Scanner) 300 mm 01.05.2005 1 as is where is immediately
108923 Nikon NES1-H04 Mini stepper 100 mm 01.05.2011 1 as is where is immediately
110729 Nikon NSR-S204B Photo-lithography 248 NM DUV Scanner with photo-track 300 MM 01.06.2002 1 as is where is immediately
109215 Nikon NSR-S308F 193nm (ArF) Scanner 300mm 1 as is where is
108965 Nikon A1R Confocal Laser Scanning Microscope with Prior Proscan III automated stage Laboratory 1 as is where is immediately
18867 NIKON 204402 Operations manual NSR-1755I7A 1 as is where is immediately
98484 Nikon ECLIPSE L150 Microscope 100/150mm 1 as is where is immediately
98485 Nikon Optiphot 150 Microscope Inspection Station 100/150mm 3 as is where is immediately
110791 Nikon OptiStation 3200 Fully Automated Wafer Inspection Microscope 300 mm 1 as is where is immediately
106956 Nikon 4S061-688-5 X Linear Motor Stage Amp SPARES 1 as is where is immediately
32206 NIKON RETICLE BOX 5 INCH 5 INCH RETICLE BOX 5 INCH 2 as is where is immediately
106707 NIKON AMI-3300 Wafer Inspection System 300 mm 1 as is where is
106708 NIKON AMI-3300 Wafer Inspection System 300 mm 1 as is where is
106709 NIKON AMI-3500 Wafer Inspection System 300 mm 1 as is where is
109278 Nikon NSR2205 i14E i-line stepper 200 mm 01.05.2000 1 as is where is immediately
109536 Nikon NSR-SF100 i-line scan-field Stepper 200 mm 01.06.2003 1 as is all rebuilt immediately
108772 Nikon Optistation 3 Wafer Inspection System 150 mm 01.05.1994 1 as is where is immediately
111339 Nikon NSR-SF200 KrF Excimer Scan-Field Stepper 150 mm 01.06.2003 1 as is where is immediately
91376 NIKON NSR-204B Scanner DUV (GIGAPHOTON G20K2 KRF laser) 200 mm 1 as is where is
108784 Nikon Optistation 3A Automatic Wafer Inspection Station 200 mm 01.05.1997 1 as is where is immediately
111344 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2008 1 as is where is immediately
91377 NIKON NSR-204B 248 nm (KrF) excimer exposure system 200 mm 01.06.2000 1 as is where is immediately
108785 NIKON Optiphot 200 (For spares use) Wafer Inspection Microscope (Parts Tool Only) 200 mm 1 as is where is immediately
111345 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2011 2 as is where is immediately
108789 Nikon Optiphot 200 Wafer Inspection Microscope 200 mm 1 as is all rebuilt immediately
108790 NIKON Optiphot 88 Wafer Inspection Microscope 200 mm 1 as is where is immediately
109051 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1999 1 as is where is immediately
109052 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1998 1 as is where is immediately
83628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3 as is where is immediately
70301 NK RM 120 REAL TIME MONITOR SPARES 1 as is where is immediately
83552 NSK GLOBAL LTD MAEBASHI PLANT BALL SCREW W1201-112P 28.02.2005 4 as is where is immediately
103439 Oerlikon Clusterline 200 PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) 200 mm 01.05.2005 1 as is where is immediately
83838 PARKER 60 SERIES QUICK COUPLING FEMALE COUPLER BH4-60 Spares 9 as is where is immediately
108861 PEARL KOGYO ZDK-916L2X Automatch Controller, Brand New, 4ea Available SPARES 1 inquire
111382 PERKIN ELMER 2400 Sputtering system 1 as is where is immediately
111357 PERKIN ELMER 2400-8SA Sputter system 1 as is all rebuilt
108862 PERKIN-ELMER 2400 Sputtering System 1 inquire
94477 PSK TERA21 Asher 300 mm 31.05.2002 1 as is where is
98833 PSK SUPRA3 Asher Dry Strip 300 mm 31.10.2006 1 as is where is
108584 PSK TERA21 Asher 300 mm 01.05.2006 1 as is where is immediately
108586 PSK DAS 2000 Asher 200 mm 01.05.2004 1 as is where is immediately
100927 PSK TERA21 Asher/Stripper 300 mm 31.05.2006 1 as is where is
103548 PSK SUPRA3 Dry Strip 300 mm 31.05.2006 1 as is where is
103549 PSK TERA21 PR Ashing 300 mm 1 as is where is
103550 PSK TERA21 PR Ashing 300 mm 1 as is where is
103551 PSK TERA21 PR Ashing 300 mm 1 as is where is
108193 PSK TERA21 PR Ashing 300 mm 01.06.2003 1 as is where is
109225 PSK Supra IV Stripper/Asher 300mm 1 as is where is
109226 PSK Supra IV Stripper/Asher 300mm 1 as is where is
109227 PSK Supra IV Stripper/Asher 300mm 1 as is where is
109228 PSK Supra IV Stripper/Asher 300mm 1 as is where is
109564 PSK SUPRA III PHOTORESIST STRIP 300 mm 01.05.2006 1 as is where is immediately
108865 RIGAKU V300 Total Reflection Xray Fluoroescence Spectrometer 1 inquire
108194 RIGAKU 3640 WAFER/DISK Analyzer 200 mm 01.06.2000 1 as is where is immediately
91557 RIGAKU XRF3640 (Handle include) Wafer/ Disk Analyzer 200 mm 01.05.1995 1 as is where is
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester SMT 01.05.2004 1 as is where is immediately
86303 Sankei Giken TCW-12000 CV Process Module Chiller Facilities 01.07.1996 1 as is where is immediately
106206 SANYO DENKI RBA2C-202 SERVO DRIVER MODULE Spares 2 as is where is immediately
84387 SEEKA UM-T50DT PHOTO SENSOR 5 as is where is immediately
21521 Seiko SDI 4000 operation manual for SDI 4000 Semiconductor process evaluation SEM manual 01.06.1995 1 as is where is immediately
52191 Seiko Seiki SCU 301H Turbo Pump Controller Unit spares 01.06.1999 1 as is where is immediately
71921 Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump spares 01.10.1999 1 as is where is immediately
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 200 mm 01.06.2006 13 as is where is immediately
109560 SELA/Camtek EM3i Saw for TEM sample preparation ASSEMBLY 01.05.2011 1 as is where is
108054 Shibasoku S230 Automated test equipment TEST 1 as is where is
108594 SHINKAWA COF 300 Flip Chip Bonder Assembly 01.05.2005 5 as is where is immediately
108595 SHINKAWA UTC-2000 SUPER Wire Bonder Assembly 01.05.2008 1 as is where is immediately
108596 SHINKAWA UTC-1000 SUPER Wire Bonder Assembly 01.05.2007 21 as is where is immediately
108597 SHINKAWA UTC-3000WE Wire Bonder Assembly 01.05.2012 1 as is where is immediately
105873 Shinmei Keiki 0-1 kgf/cm2 pressure gauge spares 2 as is where is immediately
106533 Sikama Falcon 8500 REFLOW OVEN SMT 01.06.2015 1 as is where is immediately
84237 SKF 6002-2Z bearings Spares 1 as is where is immediately
110631 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110632 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110633 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110634 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110635 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110636 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
106954 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.2004 1 as is where is immediately
106955 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.1998 1 as is where is immediately
83909 SWAGELOK 12M06 TUBE FITTINGS & VALVE 9 as is where is immediately
83910 SWAGELOK 55-8-VCO-4 TUBE FITTINGS 5 as is where is immediately
83911 SWAGELOK SS-4-VCO-3 TUBE FITTINGS 15 as is where is immediately
83912 SWAGELOK SS-4-VCO-4 TUBE FITTINGS 9 as is where is immediately
83913 SWAGELOK SS-605-4 TUBE FITTINGS 12 as is where is immediately
83915 SWAGELOK GLV-4MW-3 WELD FITTINGS L-606A 2 as is where is immediately
83919 SWAGELOK 207/235/332 TUBE FITTINGS 4 as is where is immediately
80257 Swagelok / CAJON SS-6-VC0 FITTINGS spares 8 as is where is immediately
106544 Takatori ATM-1100E Film Laminator - Taping Machine 150 mm/200 mm 01.06.1995 1 as is where is immediately
108877 TAMARACK SCIENTIFIC Mask Aligner, Contact Mask Exposure System 1 inquire
83522 TDK E S R 05-12R-3 SWITCHING REGULATOR NEC CORPORATION 1 immediately
106834 TDK load port E3, E4, E4A, F1 30 0mm 16 as is where is immediately
110527 TDK RKW24 Power Supply SPARES 1 inquire
110528 TDK RKW24 Power Supply SPARES 1 inquire
110529 TDK RKW24 Power Supply SPARES 1 inquire
110530 TDK RKW24 Power Supply SPARES 1 inquire
110531 TDK RKW24 Power Supply SPARES 1 inquire
108598 TEKTRONIX TDS720P Oscilloscope 1 as is where is immediately
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope Electronics Test and Measurement 01.07.2007 1 as is where is immediately
79590 Tektronix TDS 544A Color 4 channel 500 MHz digitizing oscilloscope with probes, accessories etc. Electronics Test and Measurement 01.05.2006 1 as is where is immediately
108774 TEKTRONIX 7704 Oscilloscope 1 as is where is
79597 Tektronix PS 280 DC Power supply (Working condition) Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79599 Tektronix 11801C Digital Sampling Oscilloscope 50 GHz Electronics Test and Measurement 01.01.2001 1 as is where is immediately
79601 Tektronix 2432A Digital Oscilloscope,250 MS/s, 2 channel, with GPIB Electronics Test and Measurement 1 as is where is immediately
111341 TEL (Tokyo Electron Ltd) ACT 8 (Parts) Carrier Send Block, SMIF 200 mm 01.06.2001 1 as is where is immediately
98304 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
98305 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
108036 TEL Tokyo Electron INDY PLUS BCD POLY 300 mm 01.07.2010 1 as is where is
98846 TEL Tokyo Electron VIGUS Mask Dielectric Etch 300 mm 30.04.2009 1 as is where is immediately
98847 TEL Tokyo Electron VIGUS RK2 Dielectric Etch 300 mm 31.07.2013 1 as is where is immediately
77089 TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly Spares 1 as is where is immediately
109093 TEL Tokyo Electron Expedius Acid Wet bench 300 mm 01.10.2006 1 as is where is immediately
110638 TEL Tokyo Electron Mark8 Lithography Coater and Developer with 2c, 2d 150 mm 1 as is where is
110639 TEL Tokyo Electron P-12XL Prober 300 mm 1 as is where is
110640 TEL Tokyo Electron P-12XL prober 300 mm 1 as is where is
110641 TEL Tokyo Electron Telius Dry Etcher 300 mm 1 as is where is
110642 TEL Tokyo Electron Telius SP-Vesta Dry Etcher 300 mm 1 as is where is
110643 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110644 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110645 TEL Tokyo Electron UNITY2e-855DD Dry Etcher 200 mm 1 as is where is
110646 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108599 TEL TOKYO ELECTRON P12XLM Prober 300 mm 01.05.2006 1 inquire immediately
110647 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108600 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2004 1 as is where is immediately
110648 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108601 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
110649 TEL Tokyo Electron Unity2e-855II IEM Dry Etcher 200 mm 1 as is where is
108602 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
110650 TEL Tokyo Electron Unity2e-855PP DP Dry Etcher 200 mm 1 as is where is
108603 TEL Tokyo Electron P12XLM Prober 300 mm 01.05.2006 1 as is where is immediately
110651 TEL Tokyo Electron Unity2e-855SS Dry Etcher 200 mm 1 as is where is
108604 TEL TOKYO ELECTRON Precio Nano FULLY AUTOMATED PROBER 300 mm 01.06.2012 1 as is where is immediately
110652 TEL Tokyo Electron Unity2e-85DPA Dry Etcher 200 mm 1 as is where is
108605 TEL Tokyo Electron ACT 8 Photoresist coater and developer track with 3C and 3D 200 mm 01.09.2001 1 as is where is immediately
110653 TEL Tokyo Electron Unity2E-85IEM Dry Etcher 200 mm 1 as is where is
108606 TEL Tokyo Electron I/F Block (Mark8 - i11D) Track interface block for Nikon i11D 200 mm 01.05.1997 1 as is where is
109118 TEL TOKYO ELECTRON Trias CVD 300 mm 01.06.2010 14 as is where is immediately
110654 TEL Tokyo Electron Unity2e-85TPATC Dry Etcher 200 mm 1 as is where is
110655 TEL Tokyo Electron UW300Z Wet 300 mm 1 as is where is
110656 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
110657 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
108614 TEL Tokyo Electron P8XL Fully Automated Prober 200 mm 01.06.2001 1 as is where is immediately
108106 TEL Tokyo Electron Alpha-303i-H Vertical Furnace, MTO 300 mm 1 as is where is
110666 TEL Tokyo Electron Interface module For Mark7 photoresist coater and developer spares 01.07.1997 1 as is where is immediately
108107 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, DCS MTO 300 mm 1 as is where is
108108 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, MTO 300 mm 1 as is where is
108109 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108110 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108111 TEL Tokyo Electron Indy-A-L Vertical Furnace, LPRO 300 mm 1 as is where is
108112 TEL Tokyo Electron Indy-B-L Vertical Furnace 300 mm 1 as is where is
108113 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108114 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108115 TEL Tokyo Electron Indy-B-L Vertical Furnace, DCS Nitride 300 mm 1 as is where is
108116 TEL Tokyo Electron Indy-I-L Vertical Furnace 300 mm 1 as is where is
108117 TEL Tokyo Electron Indy-I-L Vertical Furnace, LT ALD SIN 300 mm 1 as is where is
108118 TEL Tokyo Electron Interface module For Mark8 photoresist coater and developer spares 01.12.1995 1 as is where is immediately
108119 TEL Tokyo Electron LU-8209 Auto refill system for TEL ALPHA-8S TEOS spares 1 as is where is
108120 TEL Tokyo Electron TE8500 Dry Etch 200 mm 1 as is where is
108126 TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace spares 1 as is where is
110693 TEL TOKYO ELECTRON Mark 8 Polyimide Cure Track 200 mm 01.06.2009 1 as is where is immediately
103528 TEL Tokyo Electron EXPEDIUS DUMMY CLN 300 mm 1 as is where is
110698 TEL TOKYO ELECTRON Mark 5 Photoresist Track 150 mm 01.06.1991 1 as is where is immediately
91245 TEL TOKYO ELECTRON TRIAS CHAMBER ONLY LT TIN (N2/NH3/N2/CIF3) 300 mm 1 as is where is
93040 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2009 1 as is where is
93041 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
93042 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
91255 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
83832 TEL Tokyo Electron 028-016314-1 FITTING TUBE...1016-0 8 SPARES 1 as is where is immediately
91256 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
91258 TEL TOKYO ELECTRON ALPHA-303i K type 300 mm 1 as is where is
91259 TEL TOKYO ELECTRON Telformula(ver.0) optimal thermal processing 300 mm 1 as is where is
108929 TEL TOKYO ELECTRON Alpha 8 SZ Vertical Furnace, Fast Thermal Oxidation process 200 mm 01.06.2001 2 as is where is immediately
96386 TEL Tokyo Electron Lithius Lithography Coater Developer 31.05.2007 0 as is where is immediately
93059 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93060 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 150 mm 01.11.1992 1 as is where is immediately
103557 TEL Tokyo Electron ALPHA 303I K type / Nitride 300 mm 1 as is where is
93062 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2004 1 as is where is
103558 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 1 as is where is
93063 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93064 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103560 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
108936 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.04.2004 1 as is where is
93065 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103561 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
108937 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.06.2007 1 as is where is
103562 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103564 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103565 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103566 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
21135 TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL SPARES 1 as is where is immediately
103567 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103568 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103569 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103570 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103571 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103572 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103573 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103574 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103575 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108695 TEL Tokyo Electron TRIAS High K Metal CVD and ALD system, NiOx, HfOx process 300 MM 01.06.2012 1 inquire
103576 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103577 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103578 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103579 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103580 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103581 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
103582 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
110752 TEL Tokyo Electron ACT 12 Double Block DUV Photoresist Coater and Developer Track 300 mm 01.01.2009 1 as is where is immediately
108707 TEL Tokyo Electron Tactras RLSA (Chamber) Polysilicon Dry Etch Chamber 300 MM 01.06.2012 1 inquire
108966 TEL Tokyo Electron ACT 12 DOUBLE BLOCK TRACK with 3 PCT, 1 COT, 4 DEV, R to L 200 mm 01.06.2018 1 inquire immediately
103597 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103598 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103599 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108207 TEL Tokyo Electron VIGUS_NEST Dry ETCHing System 300 mm 01.06.2007 1 as is where is
103600 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
106416 TEL TOKYO ELECTRON INDY-PLUS-B-M VERTICAL CVD FURNACE 300 mm 01.07.2010 1 as is where is immediately
108208 TEL Tokyo Electron VIGUS_PX Dry Etcher 300 mm 01.06.2007 1 as is where is
103601 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108209 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
103602 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108210 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
103603 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108211 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
103604 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108212 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
109236 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
103605 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
109237 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
103606 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
109238 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109239 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109240 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109241 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109242 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
103611 TEL Tokyo Electron INDY ALD High-K 300 mm 1 as is where is
109243 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
103612 TEL Tokyo Electron INDY ALD High-K 300 mm 31.05.2011 1 as is where is
109244 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103613 TEL Tokyo Electron INDY Doped Poly 300 mm 1 as is where is
109245 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 300mm 1 as is where is
103614 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 31.05.2014 1 as is where is
109246 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Coat only Track 300mm 1 as is where is
103615 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109247 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103616 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109248 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103617 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109249 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Pro Z Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109250 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109251 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109252 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109253 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
103622 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2006 1 as is where is
109254 TEL TOKYO ELECTRON Expedius Batch Wafer Processing 300mm 1 as is where is
103623 TEL Tokyo Electron LITHIUS SINGLE BLOCK (for NIKON S205) 300 mm 31.05.2006 1 as is where is
109255 TEL TOKYO ELECTRON Expedius+ Batch Wafer Processing 300mm 1 as is where is
103624 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2004 1 as is where is
109256 TEL TOKYO ELECTRON Tactras DRM3 Dielectric Etch 300mm 1 as is where is
109257 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
18890 TEL TOKYO ELECTRON 201345 Operations manual 1 as is where is
103626 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109258 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
18891 TEL TOKYO ELECTRON 201336 Operations manual 1 as is where is
103627 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109259 TEL TOKYO ELECTRON TELFORMULA ALD High-K Vertical Furnace 300mm 1 as is where is
18892 TEL TOKYO ELECTRON 201341 Operations manual 1 as is where is
109260 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18893 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
91341 TEL TOKYO ELECTRON TELIUS SCCM T-3044SS DRY ETCHER 300 mm 31.05.2007 1 as is where is
103629 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
109261 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18894 TEL TOKYO ELECTRON 201339 Operations manual 1 as is where is
103630 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109262 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18895 TEL TOKYO ELECTRON 201335 Operations manual 1 as is where is
103631 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2005 1 as is where is
109263 TEL TOKYO ELECTRON TELFORMULA Oxide Vertical Furnace 300mm 1 as is where is
18896 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
103632 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
109264 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
18897 TEL TOKYO ELECTRON 201346 Operations manual 1 as is where is
109265 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
103634 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109266 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
109267 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
108500 TEL Tokyo Electron Expedius Batch Wafer Processing 300 mm 3 as is where is
109268 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
109269 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109270 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109526 TEL TOKYO ELECTRON ACT12 (4C4D) PHOTORESIST COATER AND DEVELOPER TRACK 300mm 01.06.2010 1 as is where is
109271 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109272 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
108505 TEL Tokyo Electron Tactras RLSA Poly Polysilicon Etch 300 mm 1 as is where is
109273 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
108506 TEL Tokyo Electron Tactras Vesta Polysilicon Etch 300 mm 6 as is where is
109274 TEL TOKYO ELECTRON Telius SP-305 SCCM Dielectric Etch 300mm 1 as is where is
108507 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
109275 TEL TOKYO ELECTRON Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm 1 as is where is
108508 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
108510 TEL Tokyo Electron Tactras Vigus Dielectric Etch 300 mm 1 as is where is
108511 TEL Tokyo Electron Tactras Vigus - Chamber Only Dielectric Etch 300 mm 1 as is where is
108513 TEL Tokyo Electron Tactras Vigus RK5 Dielectric Etch 300 mm 1 as is where is
108002 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, DRY OXIDE 200 mm 1 as is where is immediately
108003 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, TEOS PROCESS 200 mm 1 as is where is immediately
109027 TEL Tokyo Electron Alpha 8S-Z (AP) Vertical Furnace Oxide Process 200 mm 01.08.1999 1 as is where is immediately
108004 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, PYRO PROCESS 200 mm 1 as is where is immediately
108516 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108005 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, POCL3 PROCESS 200 mm 1 as is where is immediately
108517 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108523 TEL Tokyo Electron TELINDY Plus IRAD Oxide Vertical Furnace 300 mm 1 as is where is
109548 TEL Tokyo Electron P12XL Automatic Prober 300 mm 01.06.2005 63 as is where is immediately
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 300 mm 1 as is where is immediately
108525 TEL Tokyo Electron TELINDY Plus process TBD Vertical Furnace 300 mm 1 as is where is
108527 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 300 mm 4 as is where is
87287 TEL Tokyo Electron TELFORMULA Nitride Vertical LPCVD Furnace 300 mm 31.05.2004 1 as is where is immediately
106744 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
108536 TEL Tokyo Electron Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition) 300 mm 1 as is where is
106745 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
108537 TEL Tokyo Electron Unity Me 85 DRM Dielectric Etch 200 MM 01.06.2013 1 as is where is immediately
106746 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
108538 TEL Tokyo Electron Unity Me 85 SCCM Dielectric Etch 200 MM 1 as is where is
98299 TEL Tokyo Electron FORMULA Vertical LPCVD Furnace 300 mm 1 as is where is
106747 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
106748 TEL TOKYO ELECTRON LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2005 1 as is where is
106749 TEL TOKYO ELECTRON LITHIUS i+ High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
109565 TEL Tokyo Electron Unity SCCM Shin Oxide Etcher with qty 3 chambers 300 mm 01.05.2005 1 as is where is immediately
98302 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2006 1 as is where is
106750 TEL TOKYO ELECTRON TEL TRIAS CVD Cluster tool 300 mm 1 as is where is
98303 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2004 1 as is where is
106751 TEL TOKYO ELECTRON TEL TRIAS 200 SPA CVD Cluster tool 300 mm 1 as is where is
108881 TELEMARK 259 Electron Beam Source, Mounted on DN200CF Flange with HV, Water & Instrumentation Feedthroughs SPARES 1 inquire
108882 TELEMARK TT-3 Electron Beam Power Supply with TT-3/6 Control & XY Sweep SPARES 1 inquire
84243 THK 280L Linear Bearing and guide 1 as is where is immediately
84245 THK LWHS15 LINEAR WAY WITH SINGLE BEARING Spares 3 as is where is immediately
84246 THK RSR 15 LINEAR WAY WITH 7 BEARINGS Spares 1 as is where is immediately
84247 THK A6F 598 LINEAR WAY WITH SINGLE BEARING Spares 2 as is where is immediately
84248 THK HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84249 THK ATHI240 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84250 THK Y8A31 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84251 THK A6 C II LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84252 THK 689 LINEAR WAY WITHOUT BEARING Spares 1 as is where is immediately
84253 THK RSR12VM LINEAR WAY WITH 2 BEARINGS Spares 1 as is where is immediately
84257 THK KS 3J22 RELIANCE BEARING 1 as is where is immediately
70303 THK LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200 SPARES 2 as is where is immediately
83877 THK CO.,LCD OR17 BLOCK SR-2V 5 as is where is immediately
83878 THK CO.,LCD SC35uu LM CASE UNIT 2 as is where is immediately
83879 THK CO.,LCD FBA 5 FLAT BALL 2 as is where is immediately
108128 TOK 12262 Wafer bonder 1 as is where is
108129 TOK 12263 Wafer Debonder 1 as is where is
83827 TOKIMEC VA12134A DIRECTIONAL CONTROL VALVE 1 as is where is immediately
83828 TOKIMEC 012-7 DIRECTIONAL CONTROL VALVE 2 as is where is immediately
83831 TOKYO ELECRON 015 RELAY SPARES 1 as is where is immediately
83833 TOKYO ELECRON 011 SUPPORT.PCB..SQ-80 SPARES 1 as is where is immediately
108039 Tokyo Electron Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER SPARES 1 as is where is immediately
83640 TOKYO ELECTRON / CONTEC FC-SD70 flow meter FLOW CHECKER 1 as is where is immediately
110581 Trikon Trikon M6 Match SPARES 1 inquire
110582 Trikon Trikon M6 Match SPARES 1 inquire
110583 Trikon Trikon M6 Match SPARES 1 inquire
106560 V-Tek TM-330 TM-330 150 mm/200 mm 1 as is where is
108891 V-TEK TM-50 Manual Taping Machine 1 inquire
106563 Veeco Wyko NT3300 3D Bump Height (Veeco) 150 mm/200 mm 1 as is where is immediately
98475 Watkin Johnson UTC 800 Atmospheric wafer handling robot( WJ-999) 150-200mm 3 as is where is immediately
108981 Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB Spares 01.06.1994 1 as is where is immediately
105863 WIKA 233.50.100 -1 BAR PRESSURE GAUGE G1/2A D1001/2" Spares 31.05.1997 1 as is where is immediately
53033 Yamatake honeywell WLS302 switch spares 1 as is where is immediately
106835 Yaskawa XU RC350D-C31+ERCR-RS09-A003 Atmospheric wafer robot( DNS SU-3000) 300 mm 1 inquire immediately
106836 Yaskawa XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000) 300 mm 3 as is where is immediately
106837 Yaskawa XU RC350D-K01+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3100) 300 mm 1 as is where is immediately
106838 Yaskawa XU RCM9205 with ERCR-NS01-A003 controller atmospheric wafer robot for KLA 300 mm 1 as is where is immediately
106839 Yaskawa XU RCM9206 robot alone atmospheric wafer robot for KLA 300 mm 2 as is where is immediately
106840 Yaskawa XU RSM53E0 with XU-CM6180 controller Atmospheric wafer robot( Ebara Frex 300 CMP) 30 0mm 4 as is where is immediately
106757 YOKOGAWA TS6700 LCD DRIVER TESTER TEST 01.06.2003 1 as is where is
108056 Yokogawa AL6095 Automated test equipment TEST 1 as is where is
100692 Yokogawa ST6730A Logic Tester TEST 2 as is where is
102577 Yokogawa TS670 Tester TEST 3 inquire immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry