fabsurplus.com

List of 6 equipment available for sale at fabsurplus.com

The following are the items available for sale related to 6 at SDI fabsurplus.com. To inquire about the 6 equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of 6 items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
4247 ADE 020986-10 259.82 uM thickness standard Spares 1 as is where is immediately
4249 ADE 020986-14 360.01 uM thickness standard Spares 1 as is where is immediately
108792 ADE 6033 Wafer Thickness Tester 1 inquire
108793 ADE 6033T Wafer Thickness Tester 1 inquire
98706 Adixen Alcatel ADS 602H Dry Vacuum pump combo Pump 01.05.2008 1 as is where is immediately
109325 Advanced Energy OVATION-35162 RF GENERATOR Spares 1 as is where is
109326 Advanced Energy OVATION2560SF RF GENERATOR Spares 1 as is where is
109328 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 1 as is where is
109329 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 2 as is where is
109330 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 1 as is where is
107064 Advanced Energy AZX 63 Controller HTCM Miscellaneous Spares 1 as is where is immediately
107111 Advanced Energy Integro 136 RF Generator Spares 1 as is all rebuilt
107241 Advanced Energy RFX 600 RF Generator Spares 1 as is where is
107246 Advanced Energy RFX 600A RF Generator Spares 1 as is all rebuilt immediately
109300 Advanced Energy ATX-600 RF GENERATOR Spares 1 as is where is
109301 Advanced Energy ATX-600 RF GENERATOR Spares 1 as is where is
109310 Advanced Energy MDX-L6 RF GENERATOR Spares 1 as is where is immediately
77167 Advantech HK-6323 data acquisition card ISA Spares 2 as is where is immediately
108548 ADVANTEST M6751AD Test Handler 200 MM 1 as is where is
108551 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is
108552 ADVANTEST M6541AD Test Handler 200 mm 01.12.2000 1 as is where is immediately
108553 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is immediately
108554 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is
109068 Advantest M6541AD Test handler with Cold Option TEST 1 inquire immediately
108045 Advantest M6771AD TEST HANDLER TEST 1 as is where is
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 as is where is immediately
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board Spares 5 as is where is immediately
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
76604 Advantest TR6846 Digital Multimeter Electronics test and measurement 1 as is where is immediately
80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 as is where is immediately
80203 Advantest BGR-019486 ADVANTEST T5335P PC Board Spares 11 as is where is immediately
80207 Advantest BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3 Spares 6 as is where is immediately
80209 Advantest BGR-016794 PGR-816794CC3 PC Board Spares 2 as is where is immediately
80210 Advantest BGR-016793 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
92006 Advantest BGR-016796 ADVANTEST T5335P PC Board spares 1 as is where is immediately
80293 Advantest BGR-019267 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80295 Advantest BGR-019266 ADVANTEST T5335P MRA I/F PC Board Spares 1 as is where is immediately
110772 Advantest M6542AD TEST HANDLER WITH COLD OPTION TEST 1 inquire immediately
108233 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108234 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108235 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108236 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108237 Advantest M6451AD TEST HANDLER TEST 01.06.2002 1 as is where is
108238 Advantest M6451AD TEST HANDLER TEST 01.06.2003 1 as is where is
108239 Advantest M6451AD TEST HANDLER TEST 01.06.2001 1 as is where is
108240 Advantest M6451AD TEST HANDLER TEST 01.06.2003 1 as is where is
108241 Advantest M6751AD TEST HANDLER TEST 01.06.2001 1 as is where is
108243 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108244 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108245 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108246 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108247 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
108248 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2002 1 as is where is
108249 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108250 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
109022 Advantest Versatest V6000 Test Engineering Workstation for Office or Laboratory Use Test 01.05.2008 1 as is where is immediately
109538 ADVANTEST 93000 PS1600 Automated Test Equipment for SOC testing TEST 01.02.2020 1 as is where is immediately
107109 AE Hilight 136 RF Generator Spares 1 as is where is immediately
107110 AE Hilight 136 RF Generator Spares 1 as is where is immediately
109848 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109849 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109850 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109851 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109852 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109853 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109854 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109855 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109856 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109857 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109858 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109859 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109860 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109861 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109862 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109863 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109864 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109865 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109866 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109867 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109868 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109869 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109870 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109871 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109872 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109873 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109889 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109890 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109891 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109648 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109649 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109650 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109651 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109652 AE (Advanced Energy) ATX 600 Controller Miscellaneous SPARES 1 inquire
109657 AE (Advanced Energy) AZX 63 Bias Match SPARES 1 inquire
109658 AE (Advanced Energy) AZX 63 Bias Match SPARES 1 inquire
109659 AE (Advanced Energy) AZX 63 Controller HTCM Miscellaneous SPARES 1 inquire
109660 AE (Advanced Energy) AZX 63 Controller HTCM Miscellaneous SPARES 1 inquire
109671 AE (Advanced Energy) Cesar 136 Generator SPARES 1 inquire
109672 AE (Advanced Energy) Cesar 136 Generator SPARES 1 inquire
109703 AE (Advanced Energy) Hilight 136 Generator SPARES 1 inquire
109704 AE (Advanced Energy) Hilight 136 Generator SPARES 1 inquire
109750 AE (Advanced Energy) Ovation 2060 Generator SPARES 1 inquire
109751 AE (Advanced Energy) Ovation 2760 Generator SPARES 1 inquire
109752 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109753 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109754 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109758 AE (Advanced Energy) PDW 600 Generator SPARES 1 inquire
109759 AE (Advanced Energy) PDW 600 Generator SPARES 1 inquire
109802 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109803 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109804 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109805 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109806 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109807 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109808 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109809 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109817 AE (Advanced Energy) Pinnacle Dual 6k Generator SPARES 1 inquire
108555 Agilent 16702A LOGIC ANALISYS SYSYEM TEST 1 as is where is immediately
101035 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.03.2008 1 as is where is immediately
101036 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.05.2008 1 as is where is immediately
10544 Agilent / Verigy / Keysight 4261A LCR METER TEST 1 as is where is immediately
79588 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2007 1 as is where is immediately
79589 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2008 1 as is where is immediately
111368 Aixtron G3 2600 Reactor 01.01.2007 1 as is where is immediately
84766 AKT NAR 1800/8 G6 ITO Vertical In-line Sputtering Machine for LCD / TFT panel G6 730 mm x 920 mm (G6) 30.11.2013 1 as is where is immediately
108712 AKT 1600 PECVD Gen 2 PECVD deposition system Gen 2 01.05.2005 1 inquire immediately
83595 Allen Bradley 1762-IF4 PLC 4-ch input module 1 as is where is immediately
100700 Alphasem DB 608-PRL Die Sort System Assembly 01.09.1996 1 as is where is immediately
108727 ALPHASEM Swissline 9006 (Spares) Automatic Epoxy Die Bonder, Parts Tool Only 200 mm 01.04.1993 1 as is where is immediately
84551 AMAT 0041-61611 Retaining ring, Titan II, 8" *NEW* 1 as is where is immediately
108978 AMAT 0010-36736 Heater 1 as is where is immediately
77188 AMI Presco USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc. 156mm 1 as is where is immediately
77162 Anaheim Automation 23D306S Stepper motor 3.4VDC 2.9A Spares 1 as is where is immediately
2669 Angelantoni T600 TU5 Large Clean-room Oven with internal blowers FACILITIES 01.07.1995 1 as is where is immediately
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers FACILITIES 01.05.1995 1 inquire immediately
110849 Applied Materials 0090-00963 UPA Pressure Transducer - CMP HEAD Spares 5 inquire
110851 Applied Materials 0140-77631 Head Rotation/ Resolver cable - CMP HEAD Spares 5 inquire
110852 Applied Materials 0021-77866 Drive spindle clamp - CMP HEAD Spares 5 inquire
110853 Applied Materials 0190-77116 Flexible disk - CMP HEAD Spares 5 inquire
110854 Applied Materials EE-SX672 Sweep Home flag sensor - CMP HEAD Spares 5 inquire
110855 Applied Materials 0140-7763 Head Rotation Power cable HD 1 - CMP HEAD Spares 5 inquire
110856 Applied Materials 0140-7764 Head Rotation Power cable HD 2 - CMP HEAD Spares 5 inquire
110857 Applied Materials 0140-7765 Head Rotation Power cable HD3 - CMP HEAD Spares 5 inquire
110858 Applied Materials 0140-7766 Head Rotation Power cable HD4 - CMP HEAD Spares 5 inquire
108556 Applied Materials Uvision 600SP Brightfield Inspection System 300 mm 01.05.2008 1 as is where is immediately
110863 Applied Materials 0040-64397 Megasonic Halar Tank - CMP Cleaner Spares 5 inquire
110864 Applied Materials MS1-286X70-4A-Q Megasonic Transducer Plate - CMP Cleaner Spares 5 inquire
110880 Applied Materials 0090-00916 Megasonic Tank High Level Switch - CMP Cleaner Spares 5 inquire
110886 Applied Materials 1400-01056 Input Shuttle Limit Sensors - CMP Cleaner Spares 5 inquire
110894 Applied Materials 0090-00861 Harness, Wafer Present Switch - CMP Cleaner Spares 5 inquire
110895 Applied Materials 0021-79644 Megtank Roller holder - CMP Cleaner Spares 5 inquire
110905 Applied Materials 3220-01136 Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner Spares 5 inquire
110907 Applied Materials 0190-36511 Devicenet I/O Block Specification - CMP Cleaner Spares 5 inquire
110912 Applied Materials 1140-01367 Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner Spares 5 inquire
110913 Applied Materials 1140-01368 Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner Spares 5 inquire
110914 Applied Materials 0010-77682 Megasonics Lower Electronics - CMP Cleaner Spares 5 inquire
110915 Applied Materials 0010-77680 SRD Lower Electronics - CMP Cleaner Spares 5 inquire
110916 Applied Materials 0010-77681 System Lower Electronics - CMP Cleaner Spares 5 inquire
110917 Applied Materials 0190-06673 Fabs Robot Assy - CMP FABS Spares 5 inquire
110924 Applied Materials 0100-13016 Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller Spares 5 inquire
110927 Applied Materials 0660-01820 Applied Material MEI Board - CMP controller Spares 5 inquire
18844 APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures 1 as is where is
111054 Applied Materials 0040-55456 BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT Spares 5 inquire
111058 Applied Materials 0040-86514 SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT Spares 5 inquire
111060 Applied Materials 0021-26609 SHUTTER DISK, A101, TTN, CENTER PIN, BLUE, 300MM - DSTTN Spares 5 inquire
111061 Applied Materials 0021-22064 COVER RING, TTN, 300MM PVD - DSTTN Spares 5 inquire
111062 Applied Materials 0021-22065 SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN Spares 5 inquire
111064 Applied Materials 0021-26565 SHUTTER DISK - Aluminum Spares 5 inquire
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
106207 Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E Spares 01.06.1999 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
106208 Applied Materials 0040-13659 REV P1 BLOCK, MTG Spares 01.06.1999 1 as is where is immediately
110819 Applied Materials 0190-77016 Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra Spares 5 inquire
106212 Applied Materials 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED Spares 01.06.1999 1 as is where is immediately
106213 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1999 2 as is where is immediately
110823 Applied Materials 0190-16236 Wet robot -Wrist Motor - CMP Polisher and Lower Mirra Spares 5 inquire
110824 Applied Materials 0190-16235 Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106218 Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER Spares 01.06.1999 1 as is where is immediately
110826 Applied Materials 0100-77069 ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra Spares 5 inquire
110828 Applied Materials 0100-77064 ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra Spares 5 inquire
110830 Applied Materials 0720-02672 Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra Spares 5 inquire
106223 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110831 Applied Materials 990-004763-015 Pad Cond Analog Board - CMP Polisher and Lower Mirra Spares 5 inquire
110833 Applied Materials 990-004765-107 Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra Spares 5 inquire
110834 Applied Materials 990-004765-104 Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra Spares 5 inquire
106228 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110837 Applied Materials UPM2-646NC Furon Valve UPM2-646NC - CMP Polisher and Lower Mirra Spares 5 inquire
110838 Applied Materials UPM3-644 Furon Valve UPM3-644 - CMP Polisher and Lower Mirra Spares 5 inquire
110839 Applied Materials UPM2-644NC Furon Valve UPM2-644NC - CMP Polisher and Lower Mirra Spares 5 inquire
110842 Applied Materials 0140-77637 P1 cable C/A Digital I/O head pneumatic - CMP HEAD Spares 5 inquire
71931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE spares 01.06.1999 1 as is where is immediately
110843 Applied Materials 0140-77632 Head Sweep Cable - CMP HEAD Spares 5 inquire
110845 Applied Materials 0760-01034 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD Spares 5 inquire
110846 Applied Materials 3870-02674 Burkert Valve - CMP HEAD Spares 5 inquire
110847 Applied Materials IT1001-N31-X6 SMC UPA Regulator (X6) - CMP HEAD Spares 5 inquire
105858 Applied Materials ® 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom 200 mm 31.05.1997 1 as is where is immediately
6537 Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual Spares 01.04.1995 1 as is where is immediately
6540 Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures Spares 01.03.1996 1 as is where is immediately
108378 ASM A600 LPCVD-OXIDE Vertical Furnace 300 mm 1 as is where is
98443 ASM MS896 LED sorter 100mm 10 as is where is immediately
98444 ASM WS896 LED sorter 100mm 10 as is where is immediately
81828 ASM 2506556-21 Processor DTC PCB Spares 01.03.2010 1 as is where is immediately
83558 Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts SPARES 1 as is where is immediately
108728 ASYMTEK Millenium 620 Glue Dispense Tool 1 as is where is
77184 Athena 16C-B-S-0-23-00 Temperature / Process controller 01.02.2012 1 as is where is immediately
111224 AXCELIS 17287690 BALL SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111227 AXCELIS 1708680 INSULATING BUSHING ARC CHAMBER, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111228 AXCELIS 17287680 FLANGE SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
94595 Axcelis PS WX 75 N12 0XX0 M 863742-02 GLASSMAN High Voltage DC Power Supply SPARES 1 as is where is
72111 Axcelis 17091650 SUPP RACK Spares 3 as is where is immediately
111284 AXCELIS 17164520 ELECTRODE FILAMENT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111285 AXCELIS 17287860 CATHODE MTG PLT, GPH, CG - AXCELIS HE3 Spares 5 inquire
72120 Axcelis 17S2467 DISK Spares 1 as is where is immediately
111291 AXCELIS 17165510 APERTURE FILAMENT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111307 AXCELIS 17182600 STRIKE PLATE (4) DISK, GPH, CG - AXCELIS HE3 Spares 5 inquire
111313 AXCELIS 17350360 LINER LEAD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111314 AXCELIS 170151678 BLOCK (4) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111315 AXCELIS 170150706 BLOCK (3) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111319 AXCELIS 170151677 LINER HORIZONTAL AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111323 AXCELIS 17270060 LINER (7) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111069 Axcelis 170156358 SCREW SHOULDER, SUS - AXCELIS HE3 Spares 5 inquire
111325 AXCELIS 17125561 EXTRACTION ELECTRODE, GPH, CG - AXCELIS HE3 Spares 5 inquire
111070 Axcelis 170154476 ARC CHMBR SPACER, SUS - AXCELIS HE3 Spares 5 inquire
111071 Axcelis 170158596 ARC CHMBR SPACER, SUS - AXCELIS HE3 Spares 5 inquire
111074 Axcelis 17170610 REPELLER STUD W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111331 AXCELIS 17F6732 LINER AMU BELLOWS, GPH, CG - AXCELIS HE3 Spares 5 inquire
111332 AXCELIS 17164580 TARGET GRAPHITE E-SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111077 Axcelis 17170600 REPELLER W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111080 Axcelis 17308560 LINER CATHODE W-ELS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111081 Axcelis 170093650R13 CATHODE ASSY TUNGSTEN ELS, 13MM - AXCELIS HE3 Spares 5 inquire
111337 AXCELIS 170151676 LINER L SHAPE HORIZONTAL AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111082 Axcelis 170093650R15 CATHODE ASSY TUNGSTEN ELS, 15MM - AXCELIS HE3 Spares 5 inquire
111083 Axcelis 170093650R17 CATHODE ASSY TUNGSTEN ELS, 17MM - AXCELIS HE3 Spares 5 inquire
111084 Axcelis 170030630 CATHODE TUNGSTEN ELS 2X, W, HIGH PURITY - - Spares 5 inquire
108732 BAUSCH & LOMB StereoZoom 6 Plus Microscope, 0.67-4.0X with WF 10X/21 Eyepieces 1 as is where is
77095 Bellows 10.01.06.00878 Bellows suction cup 9mm, pack of 12 1 as is where is
108266 BESI X-Eye SF160 SL X-RAY INSPECTION FOR PCBS ASSEMBLY 01.06.2014 1 as is where is
106430 Blue M DCC 1406 E MP550 Blue M Oven 150 mm/200 mm 1 as is where is immediately
106948 Brooks Fixload 6M SMIF Load Port 300 mm 8 as is where is immediately
106812 Brooks / PRI DBM 2706 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
98453 Brooks / PRI DBM 2406 Dual arm Atmospheric wafer handling robot 200/300mm 4 as is where is immediately
108702 BTU PV614 Fast Firing Furnace Solar 01.06.2013 1 as is where is immediately
106020 Canon BG3-3868-000 MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+ Spares 01.06.1999 1 as is where is immediately
109092 Canon BG5-1262 MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
52262 Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
109098 Canon BY8-6168-4E0 Canon FPA3000 EX3 Command Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109099 Canon BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide Manual 01.06.1996 1 as is where is immediately
109100 Canon BY8-6168-1E0 Canon FPA3000 EX3 Operation Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109101 Canon BY8-6168-2E0 Canon FPA3000 EX3 Parameter Guide 1 - operational manual Manual 01.06.1996 1 as is where is immediately
109102 Canon BY8-6168-3E0 Canon FPA3000 EX3 Parameter Guide 2 - operational manual Manual 01.06.1996 1 as is where is immediately
109103 Canon BY8-6168-5E0 Canon FPA3000 EX3 Reticle Guide2 - operational manual Manual 01.06.1996 1 as is where is immediately
53049 Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 31.05.1999 1 as is where is immediately
103739 CANON BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS Spares 31.10.1996 1 as is where is immediately
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series spares 1 as is where is immediately
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 spares 30.06.1999 1 as is where is immediately
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS spares 30.06.1999 1 as is where is immediately
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board spares 01.06.1999 1 as is where is immediately
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series spares 30.06.1995 1 as is where is immediately
53066 Canon BG4-6365 PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 spares 30.04.1997 1 as is where is immediately
108919 Canon FPA-6000 ES5 248 nm (KrF) excimer exposure system 300 mm 01.05.2003 1 as is where is immediately
52346 Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52347 Canon BH8-1938-01BG4-9386 BG8-2694 CD90-CD PCB assembly spares 1 as is where is immediately
103804 Canon BG4-6466-000 ZMI 1000 A Board (Y) Spares 1 immediately
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52365 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 2 as is where is immediately
52366 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52367 Canon Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
102059 Canon FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 Various Spare Parts and lenses for Canon FPA-3000 Series spares 01.05.1998 27 as is where is immediately
106233 Canon FPA 3000 EX3/4/5/6 (Spares) FPA 3000 EX series iA scope lens unit Spares 01.06.1998 1 as is where is immediately
106234 Canon BG4-8601 Electro-Optical part for FPA 3000 EX series iA scope Spares 01.06.1998 1 as is where is immediately
105979 Canon BG4-6736 Reticle handling robot for ES2 ES3 ES4 i5 etc Spares 01.05.2000 1 as is where is 1 month
106235 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Left) Spares 01.06.1998 1 as is where is immediately
106236 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Right) Spares 01.06.1998 1 as is where is immediately
106237 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Issoku FG199 lead screw Spares 01.06.1998 1 as is where is immediately
83551 Celerity UFC-1660 MFC C2F6 5SLPM 01.08.2009 1 as is where is immediately
109969 Celtec CK180-6 Generator SPARES 1 inquire
109970 Celtec CK180-6 Generator SPARES 1 inquire
103801 Centrotherm DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) Solar 01.05.2008 1 as is where is immediately
103731 CKPlas TS-MT510-J, TS-MT610-J Transfer Solar Wafer Cassette Solar 1 as is where is immediately
107379 Comdel CLX 600 RF Generator Spares 1 as is where is immediately
109992 Comdel CB600 Generator SPARES 1 inquire
109993 Comdel CB600 Generator SPARES 1 inquire
109994 Comdel CB600 Generator SPARES 1 inquire
109995 Comdel CB600 Generator SPARES 1 inquire
109996 Comdel CB600A Generator SPARES 1 inquire
109997 Comdel CB600A Generator SPARES 1 inquire
109998 Comdel CB600A Generator SPARES 1 inquire
109999 Comdel CB600A Generator SPARES 1 inquire
110000 Comdel CB600A Generator SPARES 1 inquire
110001 Comdel CB600S Generator SPARES 1 inquire
110005 Comdel CLX 600 Generator SPARES 1 inquire
110006 Comdel CLX 600H Generator SPARES 1 inquire
110007 Comdel CLX 600H Generator SPARES 1 inquire
110030 Comdel CX 600H Generator SPARES 1 inquire
110671 Complete G6 Flat Panel Display Production line 1500mm x 1850mm 01.06.2006 920 as is where is immediately
110672 Complete G6 Flat Panel Display Production line 1500mm x 1850mm 01.06.2005 1 as is where is immediately
82179 Concoa 806 6530 Singe stage Oxygen regulator valve w/ guage 1 as is where is immediately
108742 CR TECHNOLOGY UF160-0 Xray System 1 as is where is
84278 Credence 671-4394-01 Test head connector board Spares 01.01.1999 2 as is where is immediately
84279 Credence 671-4270-02 Capture Processor board 1A Spares 01.01.1999 1 as is where is immediately
84280 Credence 671-4394-04 Test head connector board Spares 01.01.1999 1 as is where is immediately
84281 Credence 672-6051-01 Support Module Interface 01.01.1999 1 as is where is immediately
83559 Credence 671-4276-50 PIN card FOR CREDENCE DUO SX TESTER Spares 01.01.1999 3 as is where is immediately
83819 Credence 671-4359-01 DPAC Parallel Pattern Memory PCB Spares 01.01.1999 1 as is where is immediately
84099 Credence 671-1376-02 Analog Power Monitor PCB 584-02 Spares 01.07.1999 1 as is where is immediately
80269 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD Spares 01.07.1999 1 as is where is immediately
80270 Credence 671-4331-01 DUO SX ROM Sequencer PCB SPARES 01.07.1999 1 as is where is immediately
80271 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80272 Credence 671-0693-04 Duo SX DMA2 Controller PCB spares 01.05.1999 1 as is where is immediately
80273 Credence 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80274 Credence 671-4098-952707 DUO SX Master Clock Dist. 01.10.1999 1 as is where is immediately
106924 Credence 671-4359-00 REV 3 DPAC Parallel Pattern Memory SPARES 01.07.1999 18 as is where is immediately
106925 Credence 671-4177-04 Support Module Interface PCB spares 01.08.1998 2 as is where is immediately
106926 Credence 671-4351-00 rev A Intersegment Communications Connector Spares 01.01.1999 1 as is where is immediately
106927 Credence 671-2119-00 Analog Support Air flow / Bus Grant Spares 8 as is where is immediately
106928 Credence 671-4270-02 REV A Capture Processor PCB 1A Spares 01.01.1999 2 as is where is immediately
106929 Credence 671-4270-03 rev A Capture Processor 1a pcb Spares 01.01.1999 2 as is where is immediately
106930 Credence 671-4155-05 Analog Support Module VHF PCB Spares 01.06.1999 1 as is where is
106931 Credence 671-4098-952707 DUO SX Master Clock Dist. PCB spares 01.10.1999 1 as is where is immediately
106932 Credence 671-4283-01 REV 4 Duo SX ROM Sequencer TPI/PD PCB SPARES 01.07.1999 1 as is where is immediately
106933 Credence 671-4331-01 DUO SX ROM Sequencer PCB Spares 01.07.1999 1 as is where is immediately
106934 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80311 Credence 671-4127-00 Scan Memory 01.01.1999 15 as is where is immediately
106935 Credence 671-0693-04 Duo SX DMA2 Controller PCB Spares 01.05.1999 2 as is where is immediately
80312 Credence 671-4359-00 DPAC Parallel Pattern Memory Spares 01.01.1999 35 as is where is immediately
106936 Credence 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80313 Credence 672-4359-00 DPAC Parallel Pattern Memory 01.01.1999 1 as is where is
106937 Credence 671-0951-04 DUO SX Analog I/O Board Spares 01.01.1999 3 as is where is immediately
80314 Credence 672-6051-03 Support Module Interface PCB for a Credence Duo tester Spares 01.01.1999 4 as is where is immediately
106938 Credence 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER Spares 01.01.1999 4 as is where is immediately
106939 Credence 671-4394-05 REV A Test head connector PCB Spares 01.11.2005 1 as is where is immediately
80316 Credence 671-4270-03 Capture Processor 01.01.1999 2 as is where is immediately
106940 Credence 672-6051-01 Support Module Interface tpi / td pcb Spares 01.07.1999 1 as is where is immediately
80317 Credence 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester Spares 7 as is where is immediately
80318 Credence 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester Spares 01.06.1997 1 as is where is immediately
80320 Credence 671-4351-00 Intersegment Communications Connector 01.01.1999 1 as is where is immediately
81864 Credence 671-4098-02 DUO SX Master Clock Dist. PCB Spares 01.02.1999 1 as is where is immediately
81866 Credence 671-4635-01 Testhead connector PCB board, from a Credence Duo SX test system Spares 01.02.2007 1 as is where is immediately
82168 Credence 671-1461-04 Intersegment communications board Spares 01.07.1999 1 as is where is immediately
109081 CTI Cryogenics 9600 CRYOGENIC COMPRESSOR Facilities 01.06.2015 1 as is where is immediately
94583 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is
94584 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94585 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94586 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94587 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94588 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is immediately
94589 CTI Cryogenics 8116036 G 002 Pump On Board PUMP 1 as is where is
110749 CTI Cryogenics 8033168 High torr vacuum pump PUMP 1 as is where is immediately
4259 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4265 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4267 CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL Spares 01.03.1998 1 as is where is immediately
83892 CVC GTC-036 TERMOCOUPLE GAUGE TUBE 3 as is where is immediately
108807 DAGE XD6500 X-Ray Inspection Tool ASSEMBLY 1 inquire
109416 DAIHEN WMN-50C6A RF GENERATOR Spares 2 as is where is
80176 Dayton 4C446 Blower motor 115v 01.01.2012 1 as is where is immediately
110595 Delvotec 6200 Gold Ball Wire Bonder Assembly 01.06.2007 1 inquire immediately
77187 Despatch 164700 Thermocouple Spares 1 as is where is immediately
109111 Disco DFD 6240 Fully automatic dicing saw 200 mm 01.06.2007 1 as is where is immediately
108396 Disco DFL7160 Laser Saw 300 mm 1 as is where is
109169 DISCO DFL7160 Laser Saw 300mm 1 as is where is
109170 DISCO DFL7160 Laser Saw 300mm 1 as is where is
110800 Disco DGP8761 with DFM2800 Wafer Back Grinder with Wafer Taper 300 mm 01.06.2012 1 inquire immediately
106461 Disco DFD6361 DICING SAW 150-200 mm 01.11.2009 1 as is where is immediately
106462 Disco DFD6362 DICING SAW 150 mm/200 mm 01.05.2016 1 as is where is immediately
106463 Disco DFD651 8" Dual Spindle Automated DICING Saw 150 mm/200 mm 01.06.1995 1 as is where is immediately
106464 Disco DFD651 8 inch Dual Spindle Dicing Saw 150 mm/200 mm 01.06.2000 1 as is where is immediately
90089 DISCO DFL7160 WBL LASER SAW (DAF Type) 300 mm 01.05.2012 1 as is where is immediately
110952 DNS HT24DI16 ALGO Spares 5 inquire
110956 DNS AMD322-8US-6-0-4 CKD Spares 5 inquire
110957 DNS AMD312-8US-6-24R CKD Spares 5 inquire
110958 DNS AMD312-8US-6-0-4 CKD Spares 5 inquire
110960 DNS AMD312-8US-6-3-4 CKD Spares 5 inquire
110961 DNS SGM-A3L3J26 AC servo motor Spares 5 inquire
110963 DNS SGM-A3LWJ46 AC servo motor Spares 5 inquire
110964 DNS SGM-A3L3J46P AC servo motor Spares 5 inquire
110969 DNS 739-57668 N2 FLOW METER(TOKYO KEISO) Spares 5 inquire
110970 DNS 739-63356 FLOW METER(TOKYO KEISO) Spares 5 inquire
110974 DNS MS61L PRESSURE SWITCH Spares 5 inquire
105871 DNS 2-39-06099 NISSHIN VACUUM gauge 0-76 cmHg spares 1 as is where is immediately
105872 DNS 2-39-06106 NISSHIN pressure gauge 0-0.5 kgf cm2 spares 1 as is where is immediately
18878 DNS 806 9406 D-SPIN 60A Instruction manual 1 as is where is
18879 DNS 803-9605 D-SPIN 80A Parts list 1 as is where is
18882 DNS 603 9309 D-SPIN 60A Part list 1 as is where is
18883 DNS 006 9105 D-SPIN 622 Service manual / part list 1 as is where is
18884 DNS 6398 D-SPIN 60 A Electrical circuit diagram 1 as is where is
18885 DNS 6398 D-SPIN 60 A Part list 1 as is where is
18886 DNS 6398 D-SPIN 60A Service manual 1 as is where is
18887 DNS 806-9311 D-SPIN 60A Instruction manual 1 as is where is
18888 DNS 603-9311 D-SPIN 60A Part list 1 as is where is
18889 DNS 806-9371 D-SPIN 60 Instruction manual 1 as is where is
110051 Dressler Cesar 136 Generator SPARES 1 inquire
110052 Dressler Cesar 136 Generator SPARES 1 inquire
110053 Dressler Cesar 136 Generator SPARES 1 inquire
110054 Dressler Cesar 136 Generator SPARES 1 inquire
110055 Dressler Cesar 136 Generator SPARES 1 inquire
110056 Dressler Cesar 136 Generator SPARES 1 inquire
110071 Drytech 13.56Mhz Power Source Generator SPARES 1 inquire
80001 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80030 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80040 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80041 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80042 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80045 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80080 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven Reliability 1 as is where is immediately
80081 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80082 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80084 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80033 EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). Reliability 256 as is where is immediately
83582 EDWARDS ETM63X PLASMA HEATING CONTROL UNIT 1 as is where is immediately
110101 ENI ACG-6 Generator SPARES 1 inquire
110102 ENI ACG-6 Generator SPARES 1 inquire
108567 ENI RFC-6-03 RF Generator SPARES 01.07.2006 1 as is where is immediately
110122 ENI LPG-6 Generator SPARES 1 inquire
110123 ENI LPG-6A Generator SPARES 1 inquire
110124 ENI LPG-6A Generator SPARES 1 inquire
110221 ENI OEM-6 Generator SPARES 1 inquire
110222 ENI OEM-6 Generator SPARES 1 inquire
110223 ENI OEM-6 Generator SPARES 1 inquire
110224 ENI OEM-6 Generator SPARES 1 inquire
110225 ENI OEM-6 Generator SPARES 1 inquire
110226 ENI OEM-6 Generator SPARES 1 inquire
110227 ENI OEM-6 Generator SPARES 1 inquire
110228 ENI OEM-6 Generator SPARES 1 inquire
110229 ENI OEM-6 Generator SPARES 1 inquire
110230 ENI OEM-6 Generator SPARES 1 inquire
110231 ENI OEM-6 Generator SPARES 1 inquire
110232 ENI OEM-6 Generator SPARES 1 inquire
110233 ENI OEM-6 Generator SPARES 1 inquire
110234 ENI OEM-650A Generator SPARES 1 inquire
110235 ENI OEM-650A Generator SPARES 1 inquire
110236 ENI OEM-650A Generator SPARES 1 inquire
110237 ENI OEM-650A Generator SPARES 1 inquire
110238 ENI OEM-650A Generator SPARES 1 inquire
110239 ENI OEM-650A Generator SPARES 1 inquire
110240 ENI OEM-650A Generator SPARES 1 inquire
110241 ENI OEM-650A Generator SPARES 1 inquire
110242 ENI OEM-650A Generator SPARES 1 inquire
110243 ENI OEM-650A Generator SPARES 1 inquire
110244 ENI OEM-650A Generator SPARES 1 inquire
110245 ENI OEM-650A Generator SPARES 1 inquire
110246 ENI OEM-650A Generator SPARES 1 inquire
110247 ENI OEM-650A Generator SPARES 1 inquire
110248 ENI OEM-650A Generator SPARES 1 inquire
110249 ENI OEM-650A Generator SPARES 1 inquire
110250 ENI OEM-650A XL Generator SPARES 1 inquire
110251 ENI OEM-650A XL Generator SPARES 1 inquire
110252 ENI OEM-650A XL Generator SPARES 1 inquire
110253 ENI OEM-650A XL Generator SPARES 1 inquire
110254 ENI OEM-650A XL Generator SPARES 1 inquire
110255 ENI OEM-650A XL Generator SPARES 1 inquire
110256 ENI OEM-6B Generator SPARES 1 inquire
110257 ENI OEM-6B Generator SPARES 1 inquire
110258 ENI OEM-6L Generator SPARES 1 inquire
110259 ENI OEM-6M Generator SPARES 1 inquire
110288 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110289 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110290 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110291 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110292 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110293 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110294 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110295 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
80368 ENI OEM-6J RF GENERATOR SPARES 31.05.1995 1 as is where is immediately
83513 Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet Facilities 01.07.2010 1 as is where is immediately
110779 ESEC 2006HR Die Bonder, with Eutectic bonding capability Assembly 1 inquire immediately
83796 ESI 29286 ESI pcb Servo Preamp Spares 1 as is where is immediately
83858 ESI 24961 Extender Board PC Board w/ cables FOR ESI 44 LASER TRIMMER Spares 2 as is where is immediately
83859 ESI 42356 S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER Spares 1 as is where is immediately
83936 ESI 41506 Bridge Calibrator PCB for ESI 44 LASER TRIMMER Spares 1 as is where is immediately
106899 ESI ELECTRO SCIENTIFIC INDUSTRIES 146900-01 REV A Lower Pneumatic Control Box for ESI 9830 spares 01.09.2007 1 as is where is 2 weeks
109588 EVG 620 Mask Aligner (TSA) 150 mm 1 inquire
109594 EVG 620 Mask Aligner (TSA) 150 mm 1 inquire
106894 FINETECH GmbH Fineplacer 96 Manual FlipChip Bonder 1 as is where is immediately
95576 FSI Polaris 3500 (Spares) VT106 10 INCH VGA MONOCHROME MONITOR Spares 2 as is where is immediately
95577 FSI Polaris 3500 (Spares) IBM 8228 P/N 6091014 Multistation Access Unit Spares 2 as is where is immediately
18849 FSI 906498-100 FSI CHEM FILL Dec scotland system manual and print 1 as is where is
18852 FSI 501666-000 Operations and maintenance Excalibur ISR 2 as is where is
18854 FSI 906496-100 Bit bus node installation system requirement and guidelines 1 as is where is
18855 FSI 906487 Chemblend 350 operation and maintenance manual 2 as is where is
18859 FSI 912631 Excalibur ISR and EOS systems operations and maintenance manual 2 as is where is
18861 FSI 906488-100 Model 1000 CDM operations and maintenance manual 1 as is where is
18862 FSI 916197-100 Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual 1 as is where is
27828 FSI 912629 Manual 1
27829 FSI 904602-200 Manual 1
27830 FSI 906496-100 Manual 1
84260 FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM. 1
103814 Fujikin FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA Spares 01.05.2005 1 as is where is immediately
83544 Fujitsu Denso FDS26-29 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER Spares 01.02.1996 2 as is where is immediately
79892 Gossen Konstanter IEC625 Laboratory Power supply Gossen Konstanter UOP Electronics Test and Measurement 1 as is where is immediately
106476 GPD PBFT856VS Pull Force Tester 150 mm/200 mm 01.06.2000 1 as is where is immediately
86278 Hitachi 6280H CONTROL RACK CD SEM (PARTS) Spares 01.05.1995 1 as is where is immediately
60939 HITACHI S4160 Scanning electron microscope 31.05.1996 1 as is where is
91417 HITACHI LS-6800 wafer surface inspection 300 mm 31.08.2007 1 as is where is immediately
111385 Hitachi 566-5504 CPU PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111386 Hitachi 565-5506 MAG. ADJ. PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111388 Hitachi 566-5346 PANEL I/F PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111389 Hitachi 566-5513 C/G RAM PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111391 Hitachi 545-5596 V-AMT (T) PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111394 Hitachi 566-5510 HV LENS PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
108072 Hitachi S-6280H CD SEM 1 as is where is
53054 HITACHI 6280H (SPARES) SORD Computer for cd sem system spares 1 as is where is immediately
52301 Hitachi 545-5516 7 Channel Power Supply module spares 0 as is where is immediately
106666 HITACHI LS-6800 Wafer Surface Inspection 300 mm 01.06.2007 1 as is where is
102060 Hitachi 6280H CD SEM Control Rack including qty 12 PC Boards in the rack Spares 12 as is where is immediately
110766 Hitachi S-9260A SEM 1 as is where is immediately
106160 HITACHI RS6000 (Enhanced) Defect Review SEM 300 mm 01.06.2013 1 as is where is immediately
52167 Hitachi 6280H Power Supply Module 4channels spares 1 as is where is immediately
108027 HITACHI N-6000 NANO PROBER Laboratory 01.09.2007 1 as is where is
110616 HP / Agilent 4062 Automated Test equipment TEST 1 as is where is immediately
108753 HP / Agilent 54601A Oscilloscope, 4 Channel 100 MHz Electronics Test and Measurement 1 as is where is immediately
77145 Huber Unichiller UC060Tw chiller Chiller 1 as is where is immediately
77004 ICP DAS Omega DB-16R Daughter Board Spares 01.01.2006 2 as is where is immediately
13025 IKO LWES 15 C1 R460 S2 Linear way with single bearing block,set of 4 Spares 4 as is where is immediately
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
77151 Ingersoll Rand ANAQK-ABXAB-160 Provenair HT Pneumatic Cylinder Spares 01.01.2008 1 as is where is immediately
108970 IsMeca NX16 TEST SYSTEM TEST 01.06.2011 1 as is where is immediately
108822 JEOL JSM-6600F Scanning Electron Microscope 1 inquire
91422 JEOL JSM-5600 FE SEM 31.05.1999 1 as is where is
91424 JEOL JSM-6700F FE SEM 1 as is where is
110627 JEOL JSM-6460LV Scanning Electron Microscope Laboratory 1 as is where is
109187 JEOL JSM-6400F FE SEM Laboratory 1 as is where is
108164 JEOL JSM-6340F FE Sem 1 as is where is
36564 JEOL CD-SEM, JSM-6340F JEOL 1 inquire
91427 Jordan Valley JVX 6200 X-ray metrology (X-Ray Reflectivity) 300 mm 1 as is where is
100917 Jordan Valley JVX6200 X-Ray Inspection System 300 mm 31.05.2010 1 as is where is
99830 JORDAN VALLEY JVX6200I X-ray Metrology System 300 mm 28.02.2011 1 as is where is immediately
18866 K AND S 98060-0000-001-01 Manual for Model 8060 automatic wedge bonder 1 as is where is
108826 K&S 4526 Manual Wedge Bonder, with Vertical Wire Feed ASSEMBLY 1 inquire
108827 K&S 6497 Semi-Automatic Flip Chip Epoxy Die Bonder ASSEMBLY 1 as is all rebuilt 1 month
108830 K&S 8060 Automatic Wedge Bonder ASSEMBLY 1 inquire
84231 Kalrez O-RING AS-568A o-ring seal Spares 2 as is where is immediately
110612 KARL SUSS MA56 Mask Aligner 1 as is where is immediately
108939 Karl Suss MA 56 Mask Aligner 1 inquire 1 month
108758 KARL SUSS MA6 Mask Aligner 50-150 mm 1 as is all rebuilt 1 month
106798 Kawasaki 3NS411B-F006 Robot with cable and Controller Spares 01.06.2008 1 as is where is immediately
84000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 SPARES 31.01.1996 1 inquire immediately
53026 KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system spares 1 as is where is immediately
87086 KLA 655-6616141-00 Wafer stage, kla 21xx 200 mm 1 as is where is immediately
84301 KLA 710-658036-20 Alignment Processor board REV C3 Spares 1 as is where is immediately
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares 1 as is where is immediately
84303 KLA 710-658046-20 PRocessor Board REV E0 Spares 1 as is where is immediately
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares 2 as is where is immediately
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares 2 as is where is immediately
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares 1 as is where is immediately
106066 KLA 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only spares 01.06.1990 1 as is where is immediately
84307 KLA 710-659412-00 Mass Memory PCB REV C0 Spares 1 as is where is immediately
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
84309 KLA 710-658086-20 PC Board, REV E0 Spares 1 as is where is immediately
110679 KLA Surfscan 6400 Unpatterned wafer surface particle inspection system 100-200 MM 01.06.1994 1 inquire immediately
87642 KLA 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400 Spares 1 as is where is immediately
106080 KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB Spares 1 as is where is immediately
106084 KLA 710-101836-02 Rev G3 AUTOFOCUS 2 PCB Spares 1 as is where is immediately
84076 KLA 050-654234-00 Lamp Micro Line Filament w/ clips 30.09.1996 1 as is where is immediately
106107 KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106108 KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106113 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106114 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106115 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106121 KLA 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106122 KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106123 KLA 710-036380-00 C IAS parameter 2 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106127 KLA 710-029694-00 XF e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106138 KLA 710-036106-00 REV D Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system Spares 01.09.1992 1 as is where is immediately
106140 KLA 710-037699-00 XA1 gain/offset testpoint board PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106143 KLA 740-064388-000 RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS 5 INCH/6 INCH 01.06.1999 1 as is where is immediately
110751 KLA OP 2600 THIN FILM MEASUREMENT 200 mm 1 as is where is immediately
108989 KLA 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX Spares 01.08.1996 1 as is where is immediately
4288 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP Spares 1 as is where is
1736 KLA 710-101836-02 AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system SPARES 01.01.1985 1 as is where is immediately
111348 KLA P16 Plus Profileometer 200 mm 01.06.2005 1 as is where is immediately
84216 KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
109562 KLA 2367 Brightfield wafer defect inspection system 200 mm 01.09.2006 1 as is where is immediately
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
32230 KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32231 KLA TENCOR Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure MANUAL 1 as is where is immediately
32232 KLA TENCOR surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32233 KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M spares 1
109107 KLA-Tencor PROMETRIX FT-650 WAFER FILM THICKNESS MEASUREMENT SYSTEM 01.06.1991 1 as is where is immediately
4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 200 mm 1 as is where is immediately
84089 KLA-TENCOR 7600M ELECTRO-OPTICAL ACTUATOR ASSY Spares 28.02.1998 1 as is where is immediately
84092 KLA-TENCOR 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132 200 mm 31.05.1998 1 as is where is immediately
84093 KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM Spares 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18871 KLA-TENCOR 546860-27 Operation manual 1 as is where is
18872 KLA-TENCOR 563234-27 Reference manual 1 as is where is
18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 1 as is where is
18875 KLA-TENCOR 563226-27 Software version 5.0 manual 1 as is where is
18876 KLA-TENCOR 546879-27 Software version 4.1 manual 1 as is where is
83901 KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan) SPARES 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
103386 KNIEL System CPD 5.12/6.3 Power Supply, 321-019-02.00 Spares 30.04.2001 2 as is where is immediately
84224 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 1 as is where is immediately
83846 KOGANEI JDAS32X5-165W AIR CYLINDER Spares 2 as is where is immediately
84223 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 3 as is where is immediately
108570 Kokusai DJ-1206VN Vertical Furnace 300 mm 01.05.2007 1 as is where is immediately
109108 Kokusai DJ-1236VN-DF Vertical Low-Pressure CVD System 300 mm 01.01.2016 1 as is where is immediately
110667 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.06.2005 1 inquire immediately
110706 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.09.2005 1 inquire immediately
106673 KOKUSAI DJ1206VN CVD FURNACE SiN 300 mm 01.06.2010 1 as is where is
106682 KOKUSAI DJ1206VN CVD FURNACE SiN 300 mm 01.06.2007 1 as is where is
106690 KORNIC RTP-600M RTP, Wafer Diffusion 100 mm, 150 mm 1 as is where is
84228 Kurt J Lesker ISO160AVCRT Pump centering ring Spares 2 as is where is immediately
84230 Kurt J Lesker ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange Spares 2 as is where is immediately
84212 Kurt J Lesker QF160-SAVR Pump centering ring Spares 2 as is where is immediately
109438 KYOSAN HPK06ZI-TE7-SINGLE RF GENERATOR Spares 17 as is where is
109440 KYOSAN JFK85TH-TC6 RF GENERATOR Spares 20 as is where is
109444 LAM 853-040482-600 RF GENERATOR Spares 1 as is where is
110326 Lam 6 inch RF Coil Miscellaneous SPARES 1 inquire
106240 Lam Research 853-011168-002 Rev C Surge Protector, Gap Drive Motor Assy Spares 01.07.1996 1 as is where is immediately
108168 LAM Research FLEX_GX_E6 Oxide ETCH 300 mm 01.06.2008 1 as is where is
84377 Lam Research 810-06526-000 ASSY.CBL.EF CONTROL.SNIPER Spares 31.05.2001 1 as is where is immediately
111006 Lam Research 713-066269-002 FIT RING GAST GUARD ABE - ABE Spares 5 inquire immediately
111007 Lam Research 713-069935-003 GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ Spares 5 inquire immediately
111010 Lam Research 410-01754-026-RW KIT, THERMAL SHIM, CIP1 - CIP1 Spares 5 inquire immediately
111012 Lam Research 857-065064-004 KIT, GASKET, ABE - ABE Spares 5 inquire immediately
111013 Lam Research 857-072806-005 KIT, GASKET, ELCTD - FLEX45/DS/DX Spares 5 inquire immediately
111016 Lam Research 857-085550-026 ELECTRODE GASKET KIT FOR FLEX EX+ Spares 5 inquire immediately
111017 Lam Research 857-085550-046 KIT GSKT ELECTD - FLEX GX/GXE Spares 5 inquire immediately
111019 Lam Research 719-078253-060 RING GASKET, GEL, CER 509 - FLEX FX Spares 5 inquire immediately
111023 Lam Research 839-086924-050/839-086924-021 INNER STUD SOCKETS - Flex DX/FX Spares 5 inquire immediately
111024 Lam Research 839-086924-051/839-086924-025 OUTER STUD SOCKETS - Flex DX/FX Spares 5 inquire
111025 Lam Research 839-086924-052 C-Shroud ring STUD SOCKETS - Flex DX/FX Spares 5 inquire immediately
111026 Lam Research 716-069688-005 ELCTD,INR,SI,300MM - 2300 Flex Spares 5 inquire
111027 Lam Research 716-082039-001 ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex Spares 5 inquire
111028 Lam Research 716-023013-037 RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex Spares 5 inquire
111029 Lam Research 716-017112-533 RING,WAP,17.07X1.4THK - 2300 Flex Spares 5 inquire
111030 Lam Research 716-014843-303 RING,WAP,QTZ,GENERIC,17.07ID,300MM - 2300 Flex Spares 5 inquire
111031 Lam Research 716-013402-008 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111032 Lam Research 716-018468-092 RING,COVER,OUTER,GND - 2300 Flex Spares 5 inquire
111033 Lam Research 716-014843-360 RING,WAP,QTZ,GENERIC,17.07ID,300 - 2300 Flex Spares 5 inquire
111034 Lam Research 716-013402-063 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111035 Lam Research 716-801667-003 WIN, QTZ - 2300 Flex Spares 5 inquire
111036 Lam Research 716-801568-002 WIN, QTZ - 2300 Flex Spares 5 inquire
111037 Lam Research 716-012640-011 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T Spares 5 inquire
111038 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Star-T Spares 5 inquire
111039 Lam Research 716-026652-902 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
111040 Lam Research 716-026652-922 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
111041 Lam Research 716-031257-531 RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T Spares 5 inquire
111042 Lam Research 716-031257-561 RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T Spares 5 inquire
111043 Lam Research 716-008608-001 WDO,SAPPHIRE,25MM X.087 - 2300 Star-T Spares 5 inquire
111044 Lam Research 716-801568-002 WIN, QTZ - 2300 Star-T Spares 5 inquire
111045 Lam Research 716-012640-012 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo Spares 5 inquire
111046 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo Spares 5 inquire immediately
111047 Lam Research 716-026652-002 RING,EDGE,BOT,200-300MM - 2300 Kiyo Spares 5 inquire
111048 Lam Research 716-026652-011 RING,EDGE,BOT,200-300MM - 2300 Kiyo Spares 5 inquire
111049 Lam Research 716-031257-534 RING,EDGE, TOP, EBP,2300 - 2300 Kiyo Spares 5 inquire
111050 Lam Research 716-031257-564 RING,EDGE, TOP, EBP,300MM - 2300 Kiyo Spares 5 inquire
111051 Lam Research 716-008608-001 WDO,SAPPHIRE,25MM X.087 - 2300 Kiyo Spares 5 inquire
111052 Lam Research 716-801568-002 WIN, QTZ - 2300 Kiyo Spares 5 inquire
111053 Lam Research 716-801568-002 WIN, QTZ - 2300 Versys Metal Spares 5 inquire
18898 LAM RESEARCH 406-240121-008 TCP 9600SE Drawings and schematics Vol 3 1 as is where is
18899 LAM RESEARCH 406-240121-005 TCP 9600SE Maintenance procedure 1 as is where is
18900 LAM RESEARCH 406-240122-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18901 LAM RESEARCH 406-240122-006 TCP 9600SE Drawing and schematics Vol 1 1 as is where is
18902 LAM RESEARCH 406-240121-006 TCP 9600SE Drawing and schematics Vol 1 1 as is where is
18903 LAM RESEARCH 406-240121-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18904 LAM RESEARCH 406-240121-008 TCP 9600SE Manual set addendum 1 as is where is
18905 LAM RESEARCH 409-240164-001 TCP rainbow e oxide 9500 1 as is where is
18906 LAM RESEARCH 406-240040-002 TCP Rainbow 4500 system descriptions 1 as is where is
18907 LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide 1 as is where is
18908 LAM RESEARCH 406-240101-001 TCP 9400 SE installations and start up 1 as is where is
18910 LAM RESEARCH 406-240121-003 TCP 9600 SE system operation 1 as is where is
18911 LAM RESEARCH 406-240121-002 TCP 9600 SE system description 1 as is where is
18912 LAM RESEARCH 406-240101-005 TCP 9400 SE maintenance classic 1 as is where is
18913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide 1 as is where is immediately
18914 LAM RESEARCH 409-240163-001 Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface 1 as is where is
18915 LAM RESEARCH 406-240100-005 TCP 9400 maintenance procedure 1 as is where is
18916 LAM RESEARCH 406-240101-008 Drawings and schematics Vol3 1 as is where is
18917 LAM RESEARCH 406-240101-006 Drawings and schematics Vol3 2 as is where is
18918 LAM RESEARCH 406-240101-005 Drawings and schematics Vol1 1 as is where is
18919 LAM RESEARCH 406-240101-007 Drawings and schematics Vol2 2 as is where is
98279 LAM Research FLEX GX E6 OXIDE ETCH 300 mm 31.05.2008 1 as is where is
18920 LAM RESEARCH 406-240122-009 Drawings and schematics Vol4 1 as is where is
98280 LAM Research FLEX GX E6 OXIDE ETCH 300 mm 31.05.2008 1 as is where is
18927 LAM RESEARCH 406-240122-008 Drawing and schematics Vol3 1 as is where is
18928 LAM RESEARCH 406-240122-010 Drawing and schematics Vol5 1 as is where is
82879 LAMBDA CKS-36-21A 36V DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
111399 Leica M165C Reflected light and transmitted light microscope Assembly 1 as is where is immediately
108840 LEYBOLD-HERAEUS Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller PUMP 1 inquire
33542 Liebherr FKV 3610 Fridge for the safe storage of photoresist facilities 1 as is where is immediately
107008 Long Hill Ind. Ltd. LH 836 Automated Wafer taper 150 mm 01.03.2004 1 as is where is immediately
108844 MACTRONIX UKA-625 Eureka III Jr. Manual Wafer Transfer 1 inquire
108764 MACTRONIX UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers 150 mm 1 as is where is
94599 MagneTek DS 316 GPD 503 SPARES 1 as is where is
18845 MATTSON 17500675-00 Aspen OEM manual volume I 2 as is where is
18846 MATTSON 17500675-00 Aspen OEM manual volume II 3 as is where is
18847 MATTSON 17500675-00 Aspen OEM manual volume III 3 as is where is
4007 MDC (Materials Development Corp.) DUO CHUCK 8512-6 Dual Integrated Hot Chuck CV measurement system in a dark box 150 mm 01.05.1995 1 inquire immediately
108766 Micro Automation 2066 Mask and wafer cleaner 1 as is where is immediately
84374 MICRON 256 MB SYNCH 1 as is where is immediately
80260 MILLIPORE WGGB06WR1 WAFERGARD IN-LINE GAS FILTER SPARES 4 as is where is immediately
82220 Milton Roy AA761-65S Flow rate pump 1 as is where is immediately
108848 MINATO MM-6600 Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available 1 inquire
108289 Mitutoyo Quick Vision QVT1-X606P1L-D CNC coordinate measuring system ASSEMBLY 01.06.2016 1 as is where is
110342 MKS MW-5060 Match SPARES 1 inquire
110343 MKS MW-5060 Match SPARES 1 inquire
110344 MKS MW-5060 Match SPARES 1 inquire
110345 MKS MW-5060 Match SPARES 1 inquire
111378 MKS FI20620 Astex Power supply 1 as is where is immediately
111405 MKS AX7685-20-C Astek Astron E Remote Plasma Cleaner 1 as is where is immediately
87366 MKS 653B-13064 Type 653 Throttle Control Valve DN100 (4 INCH ID FLANGE) Spares 1 as is where is immediately
77940 MKS 627BX01MCC1B Baratron 1mbar (CAPACITANCE MANOMETER) Spares 1 as is where is immediately
109450 MKS AX3060-1 Remote Plasma Cleaner Spares 1 as is where is
109451 MKS AX3063 Remote Plasma Cleaner Spares 4 as is where is
109452 MKS AX3063ULVJ Remote Plasma Cleaner Spares 1 as is where is
109454 MKS AX7650 Remote Plasma Cleaner Spares 1 as is where is
109455 MKS AX7657-2 Remote Plasma Cleaner Spares 5 as is where is
109470 MKS FI20160-1 RF GENERATOR Spares 1 as is where is
109471 MKS FI20162 RF GENERATOR Spares 2 as is where is
109472 MKS FI20162 RF GENERATOR Spares 1 as is where is
109473 MKS FI20162-1 RF GENERATOR Spares 6 as is where is
109474 MKS FI20608 RF GENERATOR Spares 1 as is where is
109475 MKS FI20609 RF GENERATOR Spares 1 as is where is
109476 MKS FI20612 RF GENERATOR Spares 3 as is where is
109483 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109484 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109490 MKS OEM-6A-01 RF GENERATOR Spares 1 as is where is
109491 MKS OEM-6A-11491-51 RF GENERATOR Spares 1 as is where is
109492 MKS OEM-6AM-1B RF GENERATOR Spares 2 as is where is
69856 MKS Type 624 Baratron pressure transducer with trip points, range 1000 Torr, CE spares 1 as is where is immediately
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
79968 Muegge MW2009D-260ED Magnetron Head 2.45GHZ 01.12.2001 2 as is where is immediately
108290 Multitest MT2168 Test handler with Lemsys tester for High Voltage and Hi Current testing TEST 01.06.2012 1 as is where is
108964 Multitest MT2168 test handler with hot, ambient and cryogenic cooling options TEST 01.06.2018 1 as is where is immediately
106704 n&k Technology 6700-CDRT Wafer Inspection System 1 as is where is
84369 NC NOR-CAL PRODUCTS 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE 01.03.1990 1 as is where is immediately
83887 NEC C1OT 6D TA 0100 THERMOSTAT 01.06.2004 1 as is where is immediately
83545 Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply FOR Advantest T5335P Spares 1 as is where is immediately
83546 Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
108188 NICOLET Magna 560 ft-ir FTIR Spectrophotometer Laboratory 01.06.1998 1 as is where is
103456 Nikon NWL860-TBM Wafer Auto Loader 150 mm, 200 mm 1 as is where is immediately
108854 NIKON NWL-860 Automatic Microscope Wafer Loader for up to 200mm Wafers 1 inquire
108087 Nikon 4S061-690-1 Linear Motor Controller spares 1 as is where is
108088 Nikon 4S586-580 NEST spares 1 as is where is
111423 Nikon 4S065-050-2 Controller 1 as is where is immediately
108098 Nikon VT286 Digital spares 2 as is where is
110695 Nikon NWL 860 TMB Wafer Inspection Microscope with wafer autoloader 150 mm, 200 mm 1 as is where is immediately
108904 Nikon NSR-S609B ArF 193 nm scanner (Scanner) 300 mm 01.05.2005 1 as is where is immediately
106956 Nikon 4S061-688-5 X Linear Motor Stage Amp SPARES 1 as is where is immediately
105869 Nisshin 0-76 cmHg vacuum gauge spares 1 as is where is immediately
111267 NISSIN SOK30-2061 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111268 NISSIN SOK30-2068 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111270 NISSIN SOK30-2096 Electrode Spacer B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111103 Nissin NIE48864-1-B Beam Dump Tile - 2300 2300 (2nd) Spares 5 inquire
83628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3 as is where is immediately
108099 Nitto N286M Wafer Mounter 0 as is where is
32216 Nitto 1603502 TAPE SPOOL PARTS 1 as is where is
109220 Nova V2600 Integrated CMP Endpoint / Film Measurement 300mm 1 as is where is
108967 NOVA T600 MMSR Ellipsometer for CD and thin film measurements 300 mm 01.01.2018 1 as is where is immediately
70300 Novascan 945-00666-00 HALOGEN LAMP SPARES 2 as is where is immediately
108191 NOVELLUS 676 CMP System Polisher 200 mm 01.06.1997 1 as is where is
109503 NPP NPG-8000H(VER 06) RF GENERATOR Spares 4 as is where is
103384 Nuclear Elettronica 314E 5/12 - 6/2 rev A Power Supply, 5V/12A - 6V/2A Spares 14 as is where is immediately
109058 Olympus AL110N-LMB6 Microscope autoloader only (Not including the microscope) 100 MM AND 150 mm 1 as is where is immediately
106825 Olympus AL110-LMB6 with MX51 wafer loader with Microscope 150mm 1 as is where is immediately
110696 Olympus AL100-L6 Wafer autoloader 100MM TO 150 MM 1 as is where is immediately
110697 Olympus AL100-LB6 Wafer autoloader 100MM TO 150 MM 2 as is where is immediately
109035 Olympus AL110N-LMB6 Wafer Inspection Microscope with autoloader 100 MM AND 150 mm 1 as is where is immediately
106896 Omniguard 860UV-IR UV-IR Fire detector, w/ mount Spares 2 as is where is immediately
21123 ORIEL 68805 POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP FACILITIES 01.06.1992 1 as is where is immediately
83620 ORIEL 68805 ULTRAVIOLET LIGHT - LAMP HOUSING SPARES 1 as is where is immediately
15900 ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR 2 as is where is immediately
83805 ORIENTAL MOTOR 21K6GN-A TW9 50602 1 as is where is immediately
83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 01.12.1993 1 as is where is immediately
83834 ORIENTAL MOTOR PH596-A STEPPING MOTOR SPARES 1 as is where is immediately
83875 ORIENTAL MOTOR UPH564-A 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
69817 Oriental Motor VEXTA PK564-NAC Brand New 5-Phase Stepping Motor, 0.72 degree/step, with driver unit Spares 01.06.1996 1 as is where is immediately
80259 PALL GLF6101VF4 GASKLEEN GAS FILTER 6 as is where is immediately
83908 PALL GLF6101VF4 GAS FILTER 1 as is where is immediately
83838 PARKER 60 SERIES QUICK COUPLING FEMALE COUPLER BH4-60 Spares 9 as is where is immediately
109518 PEARL ZDK-926-100M RF GENERATOR Spares 13 as is where is
108861 PEARL KOGYO ZDK-916L2X Automatch Controller, Brand New, 4ea Available SPARES 1 inquire
108636 PFEIFFER ADS602P TOP Vacuum Pump PUMP 2 as is where is
108637 PFEIFFER ADS602P Vacuum Pump PUMP 1 as is where is
108638 PFEIFFER ADS602H Vacuum Pump PUMP 8 as is where is
84073 Pfeiffer PTR26761A Pirani Gauge, D-35614 TPR265 Spares 01.06.2001 2 as is where is immediately
109559 Philips PHI 680 Auger Nanoprobe Laboratory 01.05.1998 1 as is where is immediately
109519 PLASMART PF05100-3B36S RF GENERATOR Spares 6 as is where is
109520 PLASMART PF05100-3B36S RF GENERATOR Spares 1 as is where is
109521 PLASMART PFDUAL-6B36A RF GENERATOR Spares 1 as is where is
31246 PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking facilities 01.10.1999 1 as is where is immediately
83506 POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83510 POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.06.1994 1 as is where is immediately
91356 PSC DES-220-456AVL ASHER 200 mm 1 as is where is immediately
98489 Raytex RXW-0826SFIX-SMIF Wafer edge scanner 200 mm 1 as is where is immediately
110386 RF Services RFS 3006 Match SPARES 1 inquire
109522 RFPP 7600001010 RF GENERATOR Spares 1 as is where is
108194 RIGAKU 3640 WAFER/DISK Analyzer 200 mm 01.06.2000 1 as is where is immediately
91557 RIGAKU XRF3640 (Handle include) Wafer/ Disk Analyzer 200 mm 01.05.1995 1 as is where is
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is immediately
84373 SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM 2 as is where is immediately
77185 Semco C60 Cleanstar PFA Valve C608075305A12HPW C60 HPW 1 as is where is immediately
77189 Semco 156 MM WAFER BOAT Solar Wafer (156mm) Quartz Rack/Holder for a Furnace 156mm 01.06.2010 6 as is where is immediately
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
108874 SEMITOOL WST 406MG Wafer Spray Solvent Tool 1 inquire
107903 Seren L601 RF Generator Spares 1 as is where is immediately
107913 Seren R601 RF Generator Spares 1 as is where is
110473 Seren AT6 Match SPARES 1 inquire
110474 Seren AT6 Match SPARES 1 inquire
110475 Seren AT6M Match SPARES 1 inquire
110490 Seren L601 Generator SPARES 1 inquire
110491 Seren L601 Generator SPARES 1 inquire
110502 Seren R601 Generator SPARES 1 inquire
74255 SGL Carbon / Ringsdorff 3PC1396/2 Heater 18 inch Graphite 3PC1396 2 spares 01.10.2003 3 as is where is
108102 Shibuya EH162 TestHandler TEST 1 as is where is
76611 SHOWA ELECTRONICS 511-16 REGULATED DC POWER SUPPLY laboratory 1 as is where is immediately
84237 SKF 6002-2Z bearings Spares 1 as is where is immediately
84256 SMC WO 36517 RODLESS CYLINDER 01.02.1994 1 as is where is immediately
84269 SMC CDJ2F16 AIR CYLINDER 1 as is where is immediately
111410 SMC INR-244-646 Chiller 1 as is where is immediately
83547 SMC IRS_056/09/GT RODLESS PNEUMATIC CYLINDER *NEW* 01.01.2008 1 as is where is immediately
77152 SMC RL17858 1030567 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* 01.01.2008 1 as is where is immediately
83867 SMC MXS16-30 AS cyl, slide table, MXS/MXJ GUIDED CYLINDER SPARES 1 as is where is immediately
110510 SPTS AS307416.07 Match SPARES 1 inquire
110513 SPTS AS317624.A Match SPARES 1 inquire
110514 SPTS AS317624.H Match SPARES 1 inquire
80184 ST Automation QT EPR16 DD Automated Flash Memory Tester System with monitor TEST 30.09.2007 1 as is where is immediately
109606 Strasbaugh 6EC CMP Wafer Polisher 1 inquire
108490 Strasbaugh 6DS-SP Multi-Process CMP 200 MM 1 as is where is
84022 Sun Ultrasparc 60 Unix computer from Teradyne J994 Test 31.12.1996 1 as is where is immediately
84023 Sun Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994 Test 01.01.1997 1 as is where is immediately
106670 SUSS MICROTECH RC 16 Resist Spin Coater N/A 1 as is where is
106671 SUSS MICROTECH RC 16(RC5) Resist Spin Coater N/A 1 as is where is
87367 SVG 99-46450-01 9200SE SVG ASML 90 track Z-robot Robot 1 as is all rebuilt immediately
108104 SVG 8626/8636 Coater Track 1 as is where is
108105 SVG 8632-CTD-D Developer Track 1 as is where is
108979 SVG Thermco 606314-02 REV E Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108980 SVG Thermco 606314-02 REV 1 Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108982 SVG Thermco 606180-01 rev 04 WTU MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
108983 SVG Thermco 168160-001 REV 4 PCB, ANALOG ATMOSPHERE APL Spares 01.06.1998 1 as is where is immediately
108984 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL INTERFACE PCB Spares 01.08.2001 1 immediately
108986 SVG Thermco 165220-001 REV B EXTENDER BOARD Spares 1 as is where is immediately
106941 SVG Thermco 168150-002 REV 3 S13 RELAY BOARD PCB Spares 1 as is where is immediately
106942 SVG Thermco 606200-01 REV 3 S5 WAF CRT MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
106943 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
83909 SWAGELOK 12M06 TUBE FITTINGS & VALVE 9 as is where is immediately
83913 SWAGELOK SS-605-4 TUBE FITTINGS 12 as is where is immediately
80257 Swagelok / CAJON SS-6-VC0 FITTINGS spares 8 as is where is immediately
79888 System General T9600 Universal Device Programmer Electronics Test and Measurement 01.05.2003 1 as is where is immediately
109015 Tazmo/Semix TR 6133UD Photoresist Coater and Developer tRACK, SOG type 100 mm to 150 mm 2 as is where is immediately
108878 TECHNICAL MFG. CORP. MICRO-g 62-111 Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers SPARES 1 inquire
108879 TECHNICAL MFG. CORP. MICRO-g 63-463 Vibration Isolation Table, 48" X 30" SPARES 1 inquire
108880 TECHNICAL MFG. CORP. MICRO-g 63-661 Vibration Isolation Table, 47" X 36" SPARES 1 inquire
84502 TED PELLA INC CAT 622 M TIN SPHERES ON CARBON 17.8mm Mount 2 as is where is immediately
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope Electronics Test and Measurement 01.07.2007 1 as is where is immediately
77089 TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly Spares 1 as is where is immediately
83832 TEL Tokyo Electron 028-016314-1 FITTING TUBE...1016-0 8 SPARES 1 as is where is immediately
18891 TEL TOKYO ELECTRON 201336 Operations manual 1 as is where is
18897 TEL TOKYO ELECTRON 201346 Operations manual 1 as is where is
82231 Teradyne 961-061-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
82232 Teradyne 961-128-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
80215 Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 Spares 2 as is where is immediately
78168 Teradyne 950-656-00 rev B PCB from test system Spares 01.06.2000 1 as is where is immediately
80224 Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 Spares 2 as is where is immediately
80226 Teradyne 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 Spares 1 as is where is immediately
80227 Teradyne 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547 1 as is where is
83561 Teradyne 405-167-00 Power-One International Series Switching DC Power Supply SPM2E1E1S304 500W I/P 115-230v 12-7A O/P 28VDC 8.6A / 28VDC 8.6A Spares 01.06.1998 1 as is where is immediately
83566 Teradyne 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233) Spares 01.06.1997 2 as is where is immediately
81836 Teradyne 961-129-01 Teradyne J971 test system power control panel 01.04.1997 1 as is where is immediately
108988 TERADYNE 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108990 TERADYNE 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 01.06.1997 1 immediately
108991 TERADYNE 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108992 TERADYNE 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108994 TERADYNE 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80324 Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A Spares 1 as is where is immediately
80325 Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 2 as is where is immediately
80326 Teradyne 950-569-03 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 2 as is where is
80328 Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 1 as is where is immediately
80329 Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 Spares 1 as is where is immediately
80330 Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 1 as is where is immediately
80331 Teradyne 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 Spares 1 as is where is immediately
108887 TERRA UNIVERSAL 1679-06-1 SST Dessicator Cabinet , 2ea Available SPARES 1 inquire
107956 Thamway A161 RF Generator Spares 1 as is where is immediately
110549 Thamway A161 RF Generator SPARES 1 as is where is immediately
84247 THK A6F 598 LINEAR WAY WITH SINGLE BEARING Spares 2 as is where is immediately
84251 THK A6 C II LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84252 THK 689 LINEAR WAY WITHOUT BEARING Spares 1 as is where is immediately
108128 TOK 12262 Wafer bonder 1 as is where is
108129 TOK 12263 Wafer Debonder 1 as is where is
110581 Trikon Trikon M6 Match SPARES 1 inquire
110582 Trikon Trikon M6 Match SPARES 1 inquire
110583 Trikon Trikon M6 Match SPARES 1 inquire
108890 ULTRACISION 860 Manual Wafer Prober, for up to 200mm Wafers 200 MM 1 inquire
111417 Ultratech 1600DSA High precision stepper with dual side alignment 1 as is where is immediately
106554 Ultratech 6700 Stepper 150 mm/200 mm 1 as is where is immediately
102642 Unaxis/Balzers ZH620 Alumina Fill Fill Sputter Deposition System 125 mm 01.05.2000 1 as is where is immediately
111108 Varian E17545650 Stop, Liner Spares 5 inquire
111113 Varian E17699670 Liner, Exit, Side 3 Spares 5 inquire
111117 Varian E17460333 Liner, Entrance, Source, 90 Degree Spares 5 inquire
111118 Varian E17699660 Liner, Exit, Side 1 Spares 5 inquire
111124 Varian E17700663 Shield Wide Chamber Wall 90 Exit Spares 5 inquire
111125 Varian E17580463 Liner, Bottom Exit, 90 Degree Spares 5 inquire
111131 Varian E17655533 Liner, Exit, Bottom, Textured Spares 5 inquire
111132 Varian E17903563 Liner, Entrance, Top Spares 5 inquire
111138 Varian E17512680 KEY, ALIGNMENT, IHC MCS HE120, HIGH PURITY - VIISTA HE Spares 5 inquire
111140 Varian E17309460R3 PFG FILAMENT , �1.3MM W, HIGH PURITY - HCS Spares 5 inquire
111148 Varian E17286670 HOOK ARC CHAMBER, W, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111155 Varian E17296360 CLAMP,CATHODE STRAP,IHC SOURCE AL, HIGH PURITY - HCS Spares 5 inquire
111169 Varian E17324960 CLAMP .05 DIA FILAMENT PFG, TA, HIGH PURITY - XE/XER Spares 5 inquire
111174 Varian E17295630R5 E17295630R5] CATHODE SHAFLESS - VIISta HP/HC/HCS except GE Spares 5 inquire
111177 Varian E17304690 END CAP REPELLER IHC 810 VER 2, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111178 Varian E17512690 PLATE, SIDE, IHC MCS, HE120, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111181 Varian E17295630R7 CATHODE USED ON PH3, 9 MM - VIISTA HE Spares 5 inquire
111182 Varian W220916001-23R23 CATHODE SHAFTLESS,GE(II),F21,BULLET TYPE,GEF4,9MM,SIDE 1.2MM,W, HIGH PURITY - HC Spares 5 inquire
111184 Varian W220916001-23R24 WAA2301131 CATHODE SHAFTLESS, GE(II), F21, BULLET TYPE, GEF4, 7.6MM W, SIDE 0.68MM, HIGH PURITY - HCS Spares 5 inquire
111185 Varian E17295630R23 CATHODE ASSY,MODIFIED (BOTTOM 7.6MM), W, HIGH PURITY - HCS Spares 5 inquire
111192 Varian E17063221 FEED THRU ASSY DUAL VAPORIZER, W, HIGH PURITY - VIISTA 810 EHP_VAP Spares 5 inquire
111194 Varian E17388860R3 INSERT, APERTURE, 2IN1, UPGRADED HP 2.1 MM THICK, W, HIGH PURITY - HC Spares 5 inquire
111207 Varian E17343760R1 SUPP ELECTRODE UPGRADE INSERT HIGH ( BIG APERTURE HOLE) HC W, HIGH PURITY - VISTA HCS Spares 5 inquire
111208 Varian E17343760 INSERT SUPPRESSION ELECTRODE 300MM 5 DEG HE, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111210 Varian E17385690 INSERT ELECTRODE SUPP VERSION 2 HE HCS, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111212 Varian E17348680 BASE SUPPORT SUPPRESSION ELECTRODE, W, HIGH PURITY - HC Spares 5 inquire
111213 Varian E17389860 E17389860] [E17389860R2] APERTURE, BASE HCS MAIN UPGRADE EXTENDED - VIISta HCS Spares 5 inquire
111214 Varian E17389860R2 APERTURE, BASE HCS MAIN UPGRADE EXTENDED, HIGH PURITY - VISTA HCS HC Spares 5 inquire
111215 Varian E17285160 APERTURE BASEPLATE IHC702 W MAIN UPGRADE, HIGH PURITY - HC Spares 5 inquire
111218 Varian E17386490 BASE,SUPPORT,SUP ELEC,4 DEG 70MM X 4.7MM, HIGH PURITY - VISTA HCS Spares 5 inquire
111221 Varian E17369550 STEM,S/OPEN,ELECTRODE PLATE,70MM MO, HIGH PURITY - HCS Spares 5 inquire
111238 VARIAN E17790360 Block, Support, Clipper - VARIAN Spares 5 inquire
111241 VARIAN E17094620 BUSHING BURNTHRU SENSOR, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111242 VARIAN E17094620 E17094620 Bushing Burnthru Sensor, Ceramic - VIISta 80 HP/HC/HCS Spares 5 inquire
111243 VARIAN E17322160 STANDOFF CERAMIC GRAPHITE PROFILER, CERAMIC, HIGH PURITY - VISTA HC Spares 5 inquire
111244 VARIAN E17063980 SCREW LAMP INSULATOR, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111246 VARIAN F9826001 INSULATOR, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111247 VARIAN E17296000 INSULATOR CATHODE FEEDRHRU, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111248 VARIAN G17697980R1 INSULATOR SINGLE SHIELD INNER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111250 VARIAN G17697980R2 INSULATOR SINGLE SHIELD OUTER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111251 VARIAN E17276700R2-1 INSULATOR SINGLE SHIELD INNER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111253 VARIAN E17276700R2-2 INSULATOR SINGLE SHIELD OUTER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111254 VARIAN E17276700R2 INSULATOR, LONG, 20KV,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111255 VARIAN E17125680 INSULATOR, CERAMIC, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111258 VARIAN G17697980R3 INSULATOR TWIN SHIELD INNER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA HCS Spares 5 inquire
111259 VARIAN E17296280 INSULATOR DUAL MOUNT IHC SOURCE, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111260 VARIAN G17697980R4 INSULATOR TWIN SHIELD OUTER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA HCS Spares 5 inquire
111264 VARIAN E11087960R1 70 DEGREE WAVE GUIDE�HP, TEXTURE KITS CG Spares 5 inquire
72136 Varian E17064301 BEAM SHIELD Spares 1 as is where is immediately
72143 Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN Spares 1 as is where is immediately
72144 Varian E17026680 PLATE,GROUND,GRAPHSCAN Spares 1 as is where is immediately
72145 Varian E17101600 COVER,DUAL VAPORIZER Spares 1 as is where is immediately
108780 VARIAN 936-70 SP Helium Leak Detector 1 as is where is
84408 VAT 14046-PE44-1016 Gate Valve 8" Spares 1 as is where is immediately
103383 Vero Electronics 116-010069H Monovolt PK120 Power Supply, +5V 20A Spares 30.04.2001 4 as is where is immediately
107010 Verteq 1600-34 Spin Rinse Dryer (Single Stack) 150 mm 01.03.1997 1 as is where is immediately
107028 Verteq 1600-34 Double Stack Spin Rinse Dryer 150 mm 01.03.1995 1 as is where is immediately
108973 Verteq Superclean 1600-55M Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
108215 VERTEQ SUPERCLEAN 1600 Spin Rinser Dryer 1 as is where is
83826 VEXTA UPH569H-B 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
77164 Wasco SV129-31W3A/2065 Vacuum pressure switch 75 Torr Spares 1 as is where is immediately
108981 Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB Spares 01.06.1994 1 as is where is immediately
109034 WEST BOND 2416B Automatic Wedge Bonder Assembly 1 as is where is immediately
84100 Wiha 368 3 SW Ball end screw driver 4 as is where is immediately
106836 Yaskawa XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000) 300 mm 3 as is where is immediately
106839 Yaskawa XU RCM9206 robot alone atmospheric wafer robot for KLA 300 mm 2 as is where is immediately
106840 Yaskawa XU RSM53E0 with XU-CM6180 controller Atmospheric wafer robot( Ebara Frex 300 CMP) 30 0mm 4 as is where is immediately
109608 Yield Engineering YES-450PB6-2P Polyimide Bake Oven 1 inquire
106757 YOKOGAWA TS6700 LCD DRIVER TESTER TEST 01.06.2003 1 as is where is
108056 Yokogawa AL6095 Automated test equipment TEST 1 as is where is
100692 Yokogawa ST6730A Logic Tester TEST 2 as is where is
102577 Yokogawa TS670 Tester TEST 3 inquire immediately
84242 Zeiss corygon 2.8/60mm 2.8/60mm objective lens 1 as is where is immediately
83864 Zeiss 990634-5100 Joystick, Carl Zeiss 2 as is where is immediately
83866 Zeiss 475690-0206 Joint plate 6 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry