The following are the items available for sale related to M at SDI fabsurplus.com. To inquire about the M equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of M items.
SDI ID | Manufacturer | Model | Description | Version | Vintage | Q. ty | Sales Conditions | Lead Time | |
---|---|---|---|---|---|---|---|---|---|
111347 | 150 MW | Complete | Solar Cell and Module Production Line for M6 cells | Solar | 01.06.2018 | 1 | as is where is | immediately | |
54859 | 35 MWp | Baccini | Solar Cell Print line for Mono or Poly Crystalline Solar Cells | 156 mm | 01.11.2005 | 1 | as is where is | immediately | |
98785 | 60 MWp | Baccini | Solar Cell Print Line for Mono or Poly Crystalline Solar Cells | Solar | 01.05.2008 | 1 | as is where is | immediately | |
108721 | AIR SYSTEM INTL | SVB-E8EXP | Electric Blower, Explosion Proof | FACILITIES | 1 | as is where is | immediately | ||
112626 | Airco Temescal | BJD-1800 | Filament Evaporator | 1 | as is where is | ||||
112627 | Airco Temescal | VES 2550 | E Beam Deposition System, 4 Pocket | 1 | as is where is | ||||
108797 | AIRCO TEMESCAL | CV-8-210 | E Beam Power Supply Controller, 3ea Available - Parts Only | SPARES | 1 | inquire | |||
112628 | AKT APPLIED MATERIALS | 1600 | Cluster Sputtering Tool | 300 mm square | 1 | as is where is | |||
108727 | ALPHASEM | Swissline 9006 (Spares) | Automatic Epoxy Die Bonder, Parts Tool Only | 200 mm | 01.04.1993 | 1 | as is where is | immediately | |
86305 | AMAT | 0010-20422 | Endura 5500 PVD 8" Shield treatment and cover assembly | 1 | as is where is | immediately | |||
111407 | AMAT | 0010-28715 | ESC Heater for TXZ | 300mm | 1 | as is all rebuilt | immediately | ||
111413 | AMAT | Centura RTP Vantage | 300mm | 1 | as is where is | immediately | |||
84551 | AMAT | 0041-61611 | Retaining ring, Titan II, 8" *NEW* | 1 | as is where is | immediately | |||
109908 | AMAT | 2MHZ Ice Match | Match | SPARES | 1 | inquire | |||
109909 | AMAT | 8300 | Match | SPARES | 1 | inquire | |||
109910 | AMAT | 8300 | Match | SPARES | 1 | inquire | |||
109911 | AMAT | 8300 | Match | SPARES | 1 | inquire | |||
109912 | AMAT | DC Power Supply | Power Supply | SPARES | 1 | inquire | |||
109913 | AMAT | Manual Controller | Miscellaneous | SPARES | 1 | inquire | |||
109914 | AMAT | Manual Controller | Miscellaneous | SPARES | 1 | inquire | |||
109915 | AMAT | Manual Controller | Miscellaneous | SPARES | 1 | inquire | |||
109916 | AMAT | Micro Match | Match | SPARES | 1 | inquire | |||
109917 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109918 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109919 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109920 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109921 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109922 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109923 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109924 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109925 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109926 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109927 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109928 | AMAT | P5000 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109929 | AMAT | P5000 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109930 | AMAT | P5000 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109931 | AMAT | Phase Mag Detector | Miscellaneous | SPARES | 1 | inquire | |||
109932 | AMAT | Phase Mag Detector | Miscellaneous | SPARES | 1 | inquire | |||
109933 | AMAT | Phase Mag Detector | Miscellaneous | SPARES | 1 | inquire | |||
109934 | AMAT | RF Match | Match | SPARES | 1 | inquire | |||
109935 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109936 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109937 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109938 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109939 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109940 | AMAT | RF Match, VOR | Match | SPARES | 1 | inquire | |||
109941 | AMAT | Vectra IMP | Match | SPARES | 1 | inquire | |||
83588 | AMAT | 0040-77544 | Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 | Spares | 1 | as is where is | immediately | ||
108978 | AMAT | 0010-36736 | Heater | 1 | as is where is | immediately | |||
110785 | AMAT | 0195-01314 | Dual zone ceramic heater | 300mm | 1 | as is where is | immediately | ||
111571 | AMAT | SMC INR-498-016E-X007 | AMAT 0190-54601 Thermo Chiller | 1 | as is where is | immediately | |||
83669 | AMAT | 0190-24834-002 | MFC Celerity ufc-8165 1L H2 | 3 | as is where is | immediately | |||
111578 | AMAT / Applied Materials | ENDURA CL MF | PVD Cluster tool mainframe and chambers for spares use | 300 mm | 01.01.2009 | 4 | as is where is | immediately | |
111579 | AMAT / Applied Materials | Centris MESA | Dry Etch cluster tool | 300 mm | 01.01.2011 | 4 | as is where is | immediately | |
111522 | AMESS | ASL-8000 | Back-end | ASSEMBLY | 01.06.2012 | 1 | as is where is | ||
109357 | AMETEK | SGA200X25E-1DAA | RF GENERATOR | Spares | 15 | as is where is | |||
77090 | AMI | B390.05 | belt | 1 | as is where is | ||||
77153 | AMI | 885 | Screen printer Squeegee box lot | SMT | 1 | as is where is | immediately | ||
74261 | AMI Presco | ACL-TS | Solar wafer picker tool | 31.12.2006 | 1 | as is where is | immediately | ||
77188 | AMI Presco | USP206-2E | Solar wafer conveyor, 156mm for use with screen printers, etc. | 156mm | 1 | as is where is | immediately | ||
84241 | Anaheim Automation | TM4500 AA4520 | Stepper controller/driver board | Spares | 1 | as is where is | immediately | ||
77162 | Anaheim Automation | 23D306S | Stepper motor 3.4VDC 2.9A | Spares | 1 | as is where is | immediately | ||
110848 | Applied Materials | IT1011-N31-X4 | SMC UPA Regulator (X4) - CMP HEAD | Spares | 5 | inquire | |||
113408 | Applied Materials | 5200 HDP Centura | CVD | 150 mm | 01.06.2000 | 1 | as is where is | ||
110849 | Applied Materials | 0090-00963 | UPA Pressure Transducer - CMP HEAD | Spares | 5 | inquire | |||
111617 | Applied Materials | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm | 1 | as is where is | |||
113409 | Applied Materials | 9500XR | High Energy Implanter | 150 mm | 01.06.1999 | 1 | as is where is | ||
110850 | Applied Materials | 0140-77300 | Wafer loss sensor Cable - CMP HEAD | Spares | 5 | inquire | |||
113410 | Applied Materials | 9500XR | High Energy Implanter | 150 mm | 01.06.1993 | 1 | as is where is | ||
110851 | Applied Materials | 0140-77631 | Head Rotation/ Resolver cable - CMP HEAD | Spares | 5 | inquire | |||
113411 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.2000 | 1 | as is where is | ||
100868 | Applied Materials | Mirra Mesa | CMP System | 200 mm | 1 | inquire | 5 months | ||
110852 | Applied Materials | 0021-77866 | Drive spindle clamp - CMP HEAD | Spares | 5 | inquire | |||
113412 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.1995 | 1 | as is where is | ||
108037 | Applied Materials | Various Spare Parts | Spare Parts for sale | Spares | 1 | as is where is | immediately | ||
110853 | Applied Materials | 0190-77116 | Flexible disk - CMP HEAD | Spares | 5 | inquire | |||
113413 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.1994 | 1 | as is where is | ||
110854 | Applied Materials | EE-SX672 | Sweep Home flag sensor - CMP HEAD | Spares | 5 | inquire | |||
111622 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300mm | 1 | as is where is | |||
113414 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.1994 | 1 | as is where is | ||
109063 | Applied Materials | Mirra Mesa | Oxide CMP system, with SMIF | 200 mm | 1 | inquire | 1 month | ||
110855 | Applied Materials | 0140-7763 | Head Rotation Power cable HD 1 - CMP HEAD | Spares | 5 | inquire | |||
113415 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.1995 | 1 | as is where is | ||
110856 | Applied Materials | 0140-7764 | Head Rotation Power cable HD 2 - CMP HEAD | Spares | 5 | inquire | |||
111624 | Applied Materials | Centura AP DPS II Polysilicon | Polysilicon Etch | 300mm | 1 | as is where is | |||
113416 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.2002 | 1 | as is where is | ||
100873 | Applied Materials | Mirra Mesa Integrated | Oxide/STI CMP | 200 mm | 01.05.1999 | 1 | inquire | ||
110857 | Applied Materials | 0140-7765 | Head Rotation Power cable HD3 - CMP HEAD | Spares | 5 | inquire | |||
111625 | Applied Materials | Centura AP DPS II Polysilicon | Polysilicon Etch | 300mm | 1 | as is where is | |||
113417 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.1994 | 1 | as is where is | ||
110858 | Applied Materials | 0140-7766 | Head Rotation Power cable HD4 - CMP HEAD | Spares | 5 | inquire | |||
111626 | Applied Materials | Centura AP DPS II Polysilicon | Polysilicon Etch | 300mm | 1 | as is where is | |||
113418 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.1996 | 1 | as is where is | ||
110859 | Applied Materials | MIRRA MESA (SPARE PARTS) | Head sweep linear guide - CMP HEAD | Spares | 5 | inquire | |||
113419 | Applied Materials | Endura 5500 | PVD | 150 mm | 01.06.1998 | 1 | as is where is | ||
108556 | Applied Materials | Uvision 600SP | Brightfield Inspection System | 300 mm | 01.05.2008 | 1 | as is where is | immediately | |
110860 | Applied Materials | 0100-77040 | Head Pneumatics board Titan - CMP HEAD | Spares | 5 | inquire | |||
113420 | Applied Materials | 5200 Centura | WCVD | 150 mm | 01.06.1997 | 1 | as is where is | ||
108557 | Applied Materials | Centura EPI | Epitaxial Deposition, reduced pressure, 2 chamber | 300 mm | 01.05.2002 | 1 | as is where is | immediately | |
110861 | Applied Materials | MIRRA MESA (SPARE PARTS) | Wafer loss sensor pcb - CMP HEAD | Spares | 5 | inquire | |||
113421 | Applied Materials | 5200 Centura | WCVD | 150 mm | 01.06.1996 | 1 | as is where is | ||
108558 | Applied Materials | ENDURA CL | PVD Cluster tool | 300 mm | 01.05.2002 | 1 | as is where is | ||
109582 | Applied Materials | P5000 | CVD SiN Process with 2 x CVD chambers | 150 MM | 01.06.1989 | 1 | as is where is | immediately | |
110862 | Applied Materials | 0190-38704 | SMC2000 - Node 24 - CMP Cleaner | Spares | 5 | inquire | |||
113422 | Applied Materials | 5200 Centura | WCVD | 150 mm | 01.06.1996 | 1 | as is where is | ||
108559 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2006 | 1 | as is where is | immediately | |
109583 | Applied Materials | P5000 | CVD TEOS Process with 2 x CVD chambers | 150 MM | 01.06.1989 | 1 | as is where is | immediately | |
110863 | Applied Materials | 0040-64397 | Megasonic Halar Tank - CMP Cleaner | Spares | 5 | inquire | |||
113423 | Applied Materials | Centura DTI | Plasma Etch | 150 mm | 01.06.2003 | 1 | as is where is | ||
108560 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2018 | 1 | as is where is | immediately | |
110864 | Applied Materials | MS1-286X70-4A-Q | Megasonic Transducer Plate - CMP Cleaner | Spares | 5 | inquire | |||
111632 | Applied Materials | Centura Ultima Plus | HDP CVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
113424 | Applied Materials | Centura DTI | Plasma Etch | 150 mm | 01.06.1998 | 1 | as is where is | ||
108561 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2018 | 1 | as is where is | immediately | |
110865 | Applied Materials | AP-50 | Megasonic White Knight Pump - CMP Cleaner | Spares | 5 | inquire | |||
111633 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300mm | 1 | as is where is | |||
113425 | Applied Materials | Endura 5500 | PVD | 200 mm | 01.06.1992 | 1 | as is where is | ||
110866 | Applied Materials | Mirra Mesa (Spare parts) | mega genentor - CMP Cleaner | Spares | 5 | inquire | |||
113426 | Applied Materials | P5000 | Plasma Etch | 150 mm | 01.06.1996 | 1 | as is where is | ||
110867 | Applied Materials | ETN23A-SC-B (Orion Pel-Thermo) | Megasonic Heat Exchanger - CMP Cleaner | Spares | 5 | inquire | |||
113427 | Applied Materials | P5000 | Plasma Etch | 150 mm | 01.06.1993 | 1 | as is where is | ||
110868 | Applied Materials | 0021-79131 | Walking Beam Finger Pins - CMP Cleaner | Spares | 5 | inquire | |||
111636 | Applied Materials | Mirra Integra Dielectric | Dielectric CMP | 150mm | 1 | as is where is | |||
113428 | Applied Materials | P5000 | Plasma Etch | 150 mm | 01.06.1992 | 1 | as is where is | ||
110869 | Applied Materials | 0040-43238 | Solid PEEK mega rollar - CMP Cleaner | Spares | 5 | inquire | |||
111637 | Applied Materials | P-5000 Mark II DLH PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
113429 | Applied Materials | P5000 | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
96534 | Applied Materials | Mirra Mesa | CMP system | 200 mm | 1 | inquire | |||
110870 | Applied Materials | 0190-77181 | Brush Position Roller Servomotor Scrubber - CMP Cleaner | Spares | 5 | inquire | |||
111638 | Applied Materials | P-5000 Mark II DLH PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
113430 | Applied Materials | P5000 | CVD | 200 mm | 01.06.1992 | 1 | as is where is | ||
110871 | Applied Materials | 0190-77182 | Scrubber Brush Servomotor - CMP Cleaner | Spares | 5 | inquire | |||
111639 | Applied Materials | Producer Etch eXT Dielectric | Dielectric Etch | 300mm | 1 | as is where is | |||
113431 | Applied Materials | P5000 | CVD | 150 mm | 01.06.1995 | 1 | as is where is | ||
91160 | Applied Materials | Centura WCVD | WxZ Optima | 200 mm | 1 | as is where is | |||
110872 | Applied Materials | 0190-77212 | Output Station Servo Motor - CMP Cleaner | Spares | 5 | inquire | |||
111640 | Applied Materials | Producer Etch eXT Dielectric | Dielectric Etch | 1 | as is where is | ||||
113432 | Applied Materials | P5000 | CVD | 150 mm | 01.06.1996 | 1 | as is where is | ||
96537 | Applied Materials | Mirra Ontrak | Poly/STI CMP | 200 mm | 01.05.1999 | 1 | inquire | ||
110873 | Applied Materials | Mirra Mesa (Spare parts) | SRD finger set - CMP Cleaner | Spares | 5 | inquire | |||
111641 | Applied Materials | Producer Etch eXT Poly | Polysilicon Etch | 300mm | 1 | as is where is | |||
113433 | Applied Materials | P5000 | CVD | 150 mm | 01.06.1996 | 1 | as is where is | ||
91162 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 1 | as is where is | |||
96538 | Applied Materials | Mirra Ontrak | Poly/STI CMP | 200 mm | 31.05.1999 | 1 | inquire | ||
110874 | Applied Materials | 0190-77200 | SRD Servo Motor - CMP Cleaner | Spares | 5 | inquire | |||
113434 | Applied Materials | P5000 | Plasma Etch | 200 mm | 01.06.1995 | 1 | as is where is | ||
108059 | Applied Materials | CENTURA 2 DPS | Deep Trench Etcher, 2 chambers | 150 mm | 1 | as is where is | |||
110875 | Applied Materials | 1080-01207 | Walking Beam Servo Motor x - CMP Cleaner | Spares | 5 | inquire | |||
113435 | Applied Materials | P5000 | Plasma Etch | 150 mm | 01.06.1992 | 1 | as is where is | ||
108060 | Applied Materials | Centura 5300 HDP Omega | Dry etch cluster tool with 2 Chambers SIO2 etch | 200 mm | 1 | as is where is | |||
110620 | Applied Materials | Centura Enabler | Dry etcher | 300 mm | 1 | as is where is | |||
110876 | Applied Materials | 1080-01207 | Walking Beam Servo Motor y - CMP Cleaner | Spares | 5 | inquire | |||
113436 | Applied Materials | P5000 | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
97053 | Applied Materials | ENDURA2 Chamber only | Amber-(Ti) chamber | 300 mm | 1 | as is where is | immediately | ||
110877 | Applied Materials | 0090-77173 | Wafer Present Switch - CMP Cleaner | Spares | 5 | inquire | |||
113437 | Applied Materials | P5000 | WCVD | 150 mm | 01.06.1994 | 1 | as is where is | ||
113693 | Applied Materials | Centura MXP | Dry Etch Poly Poly/trench Etcher | 150 mm | 01.06.1995 | 1 | as is where is | ||
113949 | Applied Materials | 5200 Centura II | Metal Etch System - with 2 x DPS -DPM chambers | 200 mm | 01.04.2019 | 1 | as is where is | immediately | |
97054 | Applied Materials | ENDURA2 Chamber only | ESIP chamber | 300 mm | 1 | as is where is | immediately | ||
110878 | Applied Materials | 0010-04118 | Wafer Presence Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113438 | Applied Materials | P5000 dual chamber MxP | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113694 | Applied Materials | Centura MXP | Dry Etch Poly Poly/trench Etcher | 150 mm | 01.06.1995 | 1 | as is where is | ||
110879 | Applied Materials | 0090-00915 | Megasonice progammable level sensor - CMP Cleaner | Spares | 5 | inquire | |||
111647 | Applied Materials | Producer SE PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
113695 | Applied Materials | Centura MXP | Dry Etch Poly Poly/trench Etcher | 150 mm | 01.06.1995 | 1 | as is where is | ||
91168 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 31.05.2000 | 1 | inquire | ||
109088 | Applied Materials | SEMVISION G3 Lite | Defect Review SEM | 300 mm | 01.08.2007 | 1 | as is where is | 4 months | |
110880 | Applied Materials | 0090-00916 | Megasonic Tank High Level Switch - CMP Cleaner | Spares | 5 | inquire | |||
113696 | Applied Materials | P5000 | TF BPSG - SACVD BPSG | 150 mm | 01.06.1994 | 1 | as is where is | ||
110881 | Applied Materials | 0090-00917 | Megasonic Tank Level Level Switch - CMP Cleaner | Spares | 5 | inquire | |||
111649 | Applied Materials | Producer SE SACVD | SACVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
113185 | Applied Materials | Verity 6i | CD-SEM | 300 mm | 01.06.2014 | 1 | as is where is | 2 months | |
113697 | Applied Materials | P5000 | TF Silan - PECVD SILAN | 150 mm | 01.06.1990 | 1 | as is where is | ||
110882 | Applied Materials | 0090-77239 | Harness, H202 HH Level Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113698 | Applied Materials | P5000 | TF Silan - PECVD SILAN | 150 mm | 01.06.1994 | 1 | as is where is | ||
110883 | Applied Materials | 0090-77240 | Harness, H202 High Level Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113699 | Applied Materials | P5000 | TF Silan - PECVD SILAN | 150 mm | 01.06.1996 | 1 | as is where is | ||
110884 | Applied Materials | 0090-77241 | Harness, H202 Low Level Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113700 | Applied Materials | P5000 | TF TEOS - PECVD SPT ETCH | 150 mm | 01.06.1990 | 1 | as is where is | ||
97061 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only | 300 mm | 1 | as is where is | immediately | ||
110885 | Applied Materials | 0090-77242 | Harness, H202 LL Level Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113701 | Applied Materials | P5000 | TF TEOS - PECVD SPT ETCH | 150 mm | 01.06.1996 | 1 | as is where is | ||
97062 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only | 300 mm | 1 | as is where is | immediately | ||
110886 | Applied Materials | 1400-01056 | Input Shuttle Limit Sensors - CMP Cleaner | Spares | 5 | inquire | |||
113702 | Applied Materials | P5000 | TF TEOS - SACVD TEOS | 150 mm | 01.06.1990 | 1 | as is where is | ||
109095 | Applied Materials | 0010-10527 | Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat | 200 mm | 1 | as is where is | immediately | ||
110887 | Applied Materials | 0090-77203 | Shuttle Wafer Presence Switch - CMP Cleaner | Spares | 5 | inquire | |||
111655 | Applied Materials | VeritySEM 4i | SEM - Critical Dimension (CD) Measurement | 300mm | 1 | as is where is | |||
113191 | Applied Materials | Vantage RadOx | RTP | 300 mm | 1 | as is where is | |||
113703 | Applied Materials | P5000 | TF TEOS - SACVD TEOS | 150 mm | 01.06.1996 | 1 | as is where is | ||
109352 | Applied Materials | 0090-05530 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
110888 | Applied Materials | 0090-77179 | Gripper sensor harness, arm 1 - CMP Cleaner | Spares | 5 | inquire | |||
113704 | Applied Materials | P5000 | TF W Tungsten - CVD W BLANKET | 150 mm | 01.06.1996 | 1 | as is where is | ||
109353 | Applied Materials | 0090-05530 | RF GENERATOR | Spares | 1 | as is where is | |||
110889 | Applied Materials | 0090-77180 | Gripper sensor harness, arm 2 - CMP Cleaner | Spares | 5 | inquire | |||
113193 | Applied Materials | Centura AP AdvantEdge G5 Metal | Dry Etch | 300 mm | 1 | as is where is | |||
113705 | Applied Materials | P5000 | TF W Tungsten - CVD W BLANKET | 150 mm | 01.06.2000 | 1 | as is where is | ||
109354 | Applied Materials | P-5000 | RF GENERATOR | Spares | 1 | as is where is | |||
110890 | Applied Materials | 0090-77181 | Gripper sensor harness, arm 3 - CMP Cleaner | Spares | 5 | inquire | |||
113194 | Applied Materials | Endura II Aluminum Interconnect | PVD | 300 mm | 1 | as is where is | |||
113706 | Applied Materials | P5000 | TF W Tungsten - CVD WSI | 150 mm | 01.06.1996 | 1 | as is where is | ||
109355 | Applied Materials | P-5000 | RF GENERATOR | Spares | 1 | as is where is | |||
110891 | Applied Materials | 0090-77182 | Gripper sensor harness, arm 4 - CMP Cleaner | Spares | 5 | inquire | |||
113195 | Applied Materials | Endura II Front-End Metallization | Co PVD | 300 mm | 1 | as is where is | |||
113707 | Applied Materials | SST - Solvent Spray Tool | WET ETCH WET Resist Strip sst | 150 mm | 01.06.1996 | 1 | as is where is | ||
109356 | Applied Materials | PVD RF MATCH | RF GENERATOR | Spares | 1 | as is where is | |||
110892 | Applied Materials | 0090-77183 | Gripper sensor harness, arm 5 - CMP Cleaner | Spares | 5 | inquire | |||
113196 | Applied Materials | Endura II Front-End Metallization | Co PVD | 300 mm | 1 | as is where is | |||
113708 | Applied Materials | SST - Solvent Spray Tool | WET ETCH WET Resist Strip sst | 150 mm | 01.06.1997 | 1 | as is where is | ||
100909 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher With 3 poly etch chambers and 1 axiom chamber | 300 mm | 01.01.2015 | 1 | as is where is | immediately | |
110893 | Applied Materials | 1400-01055 | Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner | Spares | 5 | inquire | |||
113709 | Applied Materials | SST - Solvent Spray Tool | WET ETCH WET Resist Strip sst | 150 mm | 01.06.1998 | 1 | as is where is | ||
100910 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher with 3 x DPS2 and 1 x Axiom CH | 300 mm | 01.04.2015 | 1 | as is where is | immediately | |
109358 | Applied Materials | e-MAX RF MATCH | RF GENERATOR | Spares | 6 | as is where is | |||
110894 | Applied Materials | 0090-00861 | Harness, Wafer Present Switch - CMP Cleaner | Spares | 5 | inquire | |||
113198 | Applied Materials | Endura II Liner/Barrier | PVD | 300 mm | 1 | as is where is | |||
113710 | Applied Materials | SST - Solvent Spray Tool | WET ETCH WET Resist Strip sst | 150 mm | 01.06.2000 | 1 | as is where is | ||
91183 | Applied Materials | P5000 CVD | TEOS, DxL | 200 mm | 1 | as is where is | |||
109359 | Applied Materials | HDP-TOP | RF GENERATOR | Spares | 1 | as is where is | |||
110895 | Applied Materials | 0021-79644 | Megtank Roller holder - CMP Cleaner | Spares | 5 | inquire | |||
113199 | Applied Materials | Endura II Liner/Barrier | PVD | 300 mm | 1 | as is where is | |||
109360 | Applied Materials | MARKⅡDPS | RF GENERATOR | Spares | 6 | as is where is | |||
110896 | Applied Materials | 0010-77582 | Idler Assembly - CMP Cleaner | Spares | 5 | inquire | |||
113200 | Applied Materials | Endura II Liner/Barrier | PVD | 300 mm | 1 | as is where is | |||
100913 | Applied Materials | DPS II | AE Poly G3 dry etcher | 300 mm | 31.05.2006 | 1 | as is where is | ||
103473 | Applied Materials | CENTURA DPS G3 | Poly 1ch / Mesa 1ch | 300 mm | 31.05.2007 | 1 | as is where is | ||
109361 | Applied Materials | P-5000 POLY | RF GENERATOR | Spares | 1 | as is where is | |||
110897 | Applied Materials | 0015-77174 | Brush wafer roller motor pulley - CMP Cleaner | Spares | 5 | inquire | |||
100914 | Applied Materials | DPS II | AE Poly G3 dry etcher | 300 mm | 31.05.2007 | 1 | as is where is | ||
103474 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2003 | 1 | as is where is | ||
109362 | Applied Materials | RF MATCH3 | RF GENERATOR | Spares | 1 | as is where is | |||
110898 | Applied Materials | 0010-05398 | zaytran assy dry and wet set - CMP Cleaner | Spares | 5 | inquire | |||
114226 | Applied Materials | Semvision CX | Review SEM | 300 mm | 01.06.2000 | 1 | inquire | ||
103475 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2005 | 1 | as is where is | ||
110899 | Applied Materials | 0010-77380 | Lower Stopper Assembly - CMP Cleaner | Spares | 5 | inquire | |||
113203 | Applied Materials | Reflexion LK Oxide | Low K Oxide CMP | 300 mm | 1 | as is where is | |||
114227 | Applied Materials | Verity SEM | CD SEM | 300 mm | 01.06.2005 | 1 | inquire | ||
103476 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2010 | 1 | as is where is | ||
110900 | Applied Materials | 0010-77323 | Brush gear assy - CMP Cleaner | Spares | 5 | inquire | |||
114228 | Applied Materials | Semvision | Review SEM | 300 mm | 01.06.2001 | 1 | inquire | ||
91189 | Applied Materials | P5000 CVD | DxL | 200 mm | 1 | as is where is | |||
103477 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110901 | Applied Materials | 0015-77287 | Pulley Driver - CMP Cleaner | Spares | 5 | inquire | |||
114229 | Applied Materials | Semvision G3 Lite | Review SEM | 300 mm | 01.06.2006 | 1 | inquire | ||
103478 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110902 | Applied Materials | 0190-77502 | Vessel, Pressure, 2-Chamber - CMP Cleaner | Spares | 5 | inquire | |||
113206 | Applied Materials | VeritySEM 4i+ | CD SEM | 300 mm | 1 | as is where is | |||
114230 | Applied Materials | Semvision GX | Review SEM | 300 mm | 01.06.2007 | 1 | inquire | ||
110903 | Applied Materials | 0190-77397 | Chem Vessel, 2.4 Liter - CMP Cleaner | Spares | 5 | inquire | |||
114231 | Applied Materials | Semvision GX | Review SEM | 300 mm | 01.06.2007 | 1 | inquire | ||
91192 | Applied Materials | P5000 Mark-II CVD | TEOS | 200 mm | 1 | as is where is | |||
109112 | Applied Materials | Verity-2 | CD MEASUREMENT SEM | 200 mm | 01.06.2004 | 1 | 3 months | ||
114232 | Applied Materials | Semvision G2 | Review SEM | 200 mm SMIF | 01.06.2002 | 1 | inquire | ||
110905 | Applied Materials | 3220-01136 | Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner | Spares | 5 | inquire | |||
114233 | Applied Materials | Reticle NanoSEM 3D | Reticle Inspection SEM | Reticle | 01.06.2004 | 1 | inquire | ||
83514 | Applied Materials | Opal 7830i Enhanced | CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers | 100 mm to 200 mm | 01.05.1997 | 1 | as is where is | immediately | |
103482 | Applied Materials | DPS SILVIA | Silvia 2ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110906 | Applied Materials | 1010-01437 | Quartz Infrared Halogen Lamp - CMP Cleaner | Spares | 5 | inquire | |||
114234 | Applied Materials | Semvision CX | Review SEM | 200 mm | 1 | inquire | |||
11579 | Applied Materials | 9200 (Spares for) | IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT | 200 mm | 01.06.1999 | 1 | inquire | immediately | |
103483 | Applied Materials | DPS2 | Poly Etcher | 300 mm | 1 | as is where is | |||
108347 | Applied Materials | Centura AP AdvantEdge G5 Poly | Polysilicon Etch | 300 mm | 2 | as is where is | |||
110907 | Applied Materials | 0190-36511 | Devicenet I/O Block Specification - CMP Cleaner | Spares | 5 | inquire | |||
114235 | Applied Materials | Semvision G2 FIB | FIB SEM | 300 mm | 01.06.2004 | 1 | inquire | ||
103484 | Applied Materials | DPS2 | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110908 | Applied Materials | 0870-01038 | 200V 100W SGDA - CMP Cleaner | Spares | 5 | inquire | |||
114236 | Applied Materials | Semvision CX / Opal 9300 | Review SEM | 200 mm | 01.06.2001 | 1 | inquire | ||
103485 | Applied Materials | DPS2 AE | Poly Etcher | 300 mm | 1 | as is where is | |||
110909 | Applied Materials | 0870-01040 | 200V 200W SGDA - CMP Cleaner | Spares | 5 | inquire | |||
114237 | Applied Materials | Semvision GX | Review SEM | 300 mm | 01.06.2009 | 1 | inquire | ||
103486 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110910 | Applied Materials | 0870-01039 | 200V 400W SGDA - CMP Cleaner | Spares | 5 | inquire | |||
114238 | Applied Materials | Semvision G2 | Review SEM | 300 mm | 01.06.2003 | 1 | inquire | ||
91199 | Applied Materials | P5000 Mark-II CVD+PVD | TEOS 2Ch, SPUTTER 2Ch | 200 mm | 01.05.1997 | 1 | as is where is | ||
103487 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
107327 | Applied Materials | Vectra Match | RF Match | Spares | 1 | inquire | immediately | ||
110911 | Applied Materials | 1140-01353 | Power Supply, DC 24V 4A 100W 115/230VAC SDN 4-24-100 (SL4) - CMP Cleaner | Spares | 5 | inquire | |||
103488 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110912 | Applied Materials | 1140-01367 | Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner | Spares | 5 | inquire | |||
91201 | Applied Materials | P5000 Mark-II CVD+Etch | TEOS 2Ch, Sputter 2Ch | 200 mm | 01.05.2000 | 1 | as is where is | ||
103489 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110913 | Applied Materials | 1140-01368 | Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner | Spares | 5 | inquire | |||
103490 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110914 | Applied Materials | 0010-77682 | Megasonics Lower Electronics - CMP Cleaner | Spares | 5 | inquire | |||
103491 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 1 | as is where is | |||
110915 | Applied Materials | 0010-77680 | SRD Lower Electronics - CMP Cleaner | Spares | 5 | inquire | |||
91204 | Applied Materials | P5000 WCVD | WxL | 200 mm | 1 | as is where is | |||
103492 | Applied Materials | DPS2 AE | MINOS (Dry Etch) | 300 mm | 31.05.2005 | 1 | as is where is | ||
110916 | Applied Materials | 0010-77681 | System Lower Electronics - CMP Cleaner | Spares | 5 | inquire | |||
103493 | Applied Materials | DPS2 AE | MINOS (Dry Etch) | 300 mm | 31.05.2006 | 1 | as is where is | ||
110661 | APPLIED MATERIALS | UVISION 5 | Bright Field Inspection | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
110917 | Applied Materials | 0190-06673 | Fabs Robot Assy - CMP FABS | Spares | 5 | inquire | |||
103494 | Applied Materials | DPS2 AE | POLY MESA (Dry Etch) | 300 mm | 01.05.2013 | 1 | as is where is | immediately | |
110918 | Applied Materials | 3350-50000 | Fabs Robot Ceramic Blade - CMP FABS | Spares | 5 | inquire | |||
103495 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 01.06.2014 | 1 | as is where is | ||
110919 | Applied Materials | Mirra Mesa (Spare parts) | RORZE RD-023MS motor driver - CMP FABS | Spares | 5 | inquire | |||
103496 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 01.06.2014 | 1 | as is where is | immediately | |
110920 | Applied Materials | Mirra Mesa (Spare parts) | RC233 Generate master - CMP FABS | Spares | 5 | inquire | |||
110921 | Applied Materials | Mirra Mesa (Spare parts) | RC204A I/O master - CMP FABS | Spares | 5 | inquire | |||
110922 | Applied Materials | Mirra Mesa (Spare parts) | RD-026MSA Step driver - CMP FABS | Spares | 5 | inquire | |||
110923 | Applied Materials | Mirra Mesa (Spare parts) | SANYO DENKI, Stepping Motor, Substitute loadport lifter motor - CMP FABS | Spares | 5 | inquire | |||
110924 | Applied Materials | 0100-13016 | Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller | Spares | 5 | inquire | |||
110925 | Applied Materials | ModelUDK5128NA | Head Sweep Driver - CMP controller | Spares | 5 | inquire | |||
109134 | Applied Materials | Centura 5200 Ti/TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
110926 | Applied Materials | 1110-01058 | Port Server -Digi EL16 with PS - CMP controller | Spares | 5 | inquire | |||
114254 | Applied Materials | MIRRA 3400 Integrated | CMP Polishing system configured for use with a DNS-AS2000 cleaner (Also available for purchase) | 200 mm | 01.08.1998 | 1 | as is where is | immediately | |
109135 | Applied Materials | Centura 5200 Ti/TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
110927 | Applied Materials | 0660-01820 | Applied Material MEI Board - CMP controller | Spares | 5 | inquire | |||
110928 | Applied Materials | 0100-09054 | Applied Material AIO Board - CMP controller | Spares | 5 | inquire | |||
106065 | Applied Materials | Mirra ® 3400 | Stand-Alone CMP System | 200 mm | 01.06.2001 | 1 | as is all rebuilt | immediately | |
110929 | Applied Materials | 0100-20003 | DIO board - CMP controller | Spares | 5 | inquire | |||
103506 | Applied Materials | ENDURA CL | PVD | 300 mm | 31.05.2010 | 1 | as is where is | ||
110930 | Applied Materials | 0100-77035 | Robot X Track board - CMP controller | Spares | 5 | inquire | |||
109139 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | |||
110931 | Applied Materials | 0190-14372 | Pad Cond Driver SGDA-01AS - CMP controller | Spares | 5 | inquire | |||
110932 | Applied Materials | SGDB-44ADG | Platen Driver - CMP controller | Spares | 5 | inquire | |||
110933 | Applied Materials | SGDA-04AS | Cross driver - CMP controller | Spares | 5 | inquire | |||
114005 | Applied Materials | Centura | MXP+ Oxide | 200 MM | 01.06.1998 | 1 | as is where is | ||
110934 | Applied Materials | SGDA-02AS | Robot x driver - CMP controller | Spares | 5 | inquire | |||
114006 | Applied Materials | Centura DPS + Metal | Metal 2ch, ASP+ 2ch | 200 MM | 01.06.2000 | 1 | as is where is | ||
106583 | Applied Materials | CENTURA 5200 DPS | Poly Etcher | 200 mm | 01.06.1999 | 1 | as is where is | ||
110935 | Applied Materials | 0870-01080 | Vexta Wet Robot Driver - CMP controller | Spares | 5 | inquire | |||
114007 | Applied Materials | CENTURA DPS 2 | Metal 2ch / ASP 2ch | 300 MM | 01.06.1006 | 1 | as is where is | ||
103512 | Applied Materials | RAIDER | ECD Copper Electroplating System | 300 mm | 31.05.2005 | 1 | as is where is | ||
110936 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 10 Amp - CMP controller | Spares | 5 | inquire | |||
114008 | Applied Materials | CENTURA DPS 2 | Metal 2ch / ASP 2ch | 300 MM | 01.06.2007 | 1 | as is where is | ||
103513 | Applied Materials | RAIDER | ECD Copper Electroplating System | 300 mm | 01.05.2006 | 1 | as is where is | ||
106585 | Applied Materials | ENDURA 2 Chamber Only | ALPS | 300 mm | 1 | as is where is | |||
110937 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 1 Amp - CMP controller | Spares | 5 | inquire | |||
114009 | Applied Materials | CENTURA DPS 2 | Metal 3ch / ASP 1ch | 300 MM | 01.06.2006 | 1 | as is where is | ||
106586 | Applied Materials | ENDURA 2 Chamber Only | MOALD (IMP TiN) | 300 mm | 1 | as is where is | |||
110938 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 4 Amp - CMP controller | Spares | 5 | inquire | |||
114010 | Applied Materials | CENTURA DPS 2 | Metal 3ch / ASP 1ch | 300 MM | 01.06.2008 | 1 | as is where is | ||
103515 | Applied Materials | Vantage Hybrid | RTP cluster tool with Server OS Type | 300 mm | 01.12.2014 | 1 | as is where is | immediately | |
106587 | Applied Materials | ENDURA 2 CHAMBER ONLY | PCXT | 300 mm | 01.06.2019 | 1 | as is where is | ||
110939 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 2 Amp - CMP controller | Spares | 5 | inquire | |||
114011 | Applied Materials | CENTURA DPS 2 | Poly 3ch / Axiom 1ch | 300 MM | 01.06.2010 | 1 | as is where is | ||
110684 | Applied Materials | Sting | Dark Field Defect Inspection | 300 mm | 01.06.2009 | 1 | as is where is | immediately | |
110940 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 5 Amp - CMP controller | Spares | 5 | inquire | |||
114012 | Applied Materials | CENTURA DPS 2 | Poly Etcher with 4ch | 300 MM | 01.06.2006 | 1 | as is where is | ||
106589 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2018 | 1 | as is where is | ||
110941 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 7 Amp - CMP controller | Spares | 5 | inquire | |||
114013 | Applied Materials | DPS | Poly Etcher with 3ch | 200 MM | 01.06.2000 | 1 | as is where is | ||
110942 | Applied Materials | 0190-03358 | AMAT Power supply SP664 - CMP controller | Spares | 5 | inquire | |||
114014 | Applied Materials | ENDURA 2 | VOLTA XT CO | 300 mm | 1 | as is where is | |||
110687 | Applied Materials | Aera 2 | Photomask Inspection System | 300 mm | 01.06.2009 | 1 | as is where is | immediately | |
110943 | Applied Materials | 0110-77037 | Controller Interlock Board 1 - CMP controller | Spares | 5 | inquire | |||
114015 | Applied Materials | ENDURA 6" | TTN *5CH | 150 MM | 01.06.1996 | 1 | as is where is | ||
106592 | Applied Materials | ENDURA 2 CHAMBER ONLY | RPC | 300 mm | 01.06.2007 | 1 | as is where is | ||
110944 | Applied Materials | 0110-77038 | Controller Interlock Board 2 - CMP controller | Spares | 5 | inquire | |||
114016 | Applied Materials | ENDURA 6" | TTN *5CH | 150 MM | 01.06.1994 | 1 | as is where is | ||
106593 | Applied Materials | ENDURA 2 CHAMBER ONLY | SIP Ti | 300 mm | 1 | as is where is | |||
110945 | Applied Materials | Mirra Mesa (Spare parts) | CPU 3 - CMP controller | Spares | 5 | inquire | |||
114017 | Applied Materials | ENDURA CL | SIP Ti (No Target) | 300 mm | 1 | as is where is | |||
110946 | Applied Materials | Mirra Mesa (Spare parts) | SEI Board - CMP controller | Spares | 5 | inquire | |||
114018 | Applied Materials | P5000 | 3 Delta SACVD DLH, 1 Mark II | 200 MM | 01.06.1997 | 1 | as is where is | ||
110947 | Applied Materials | Mirra Mesa (Spare parts) | SST dnet board - CMP controller | Spares | 5 | inquire | |||
114019 | Applied Materials | P5000 | 3 PEOX DLH | 200 MM | 1 | as is where is | |||
110948 | Applied Materials | Mirra Mesa (Spare parts) | interface board - CMP controller | Spares | 5 | inquire | |||
114020 | Applied Materials | Producer GT | ACL 3 Twin | 300 MM | 01.06.2008 | 1 | as is where is | ||
108133 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2000 | 1 | as is where is | ||
110949 | Applied Materials | Mirra Mesa (Spare parts) | wet robot power supply - CMP controller | Spares | 5 | inquire | |||
114021 | Applied Materials | PRODUCER SE | UV CURE (CHAMBER ONLY) | 300 mm | 1 | as is where is | |||
106598 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | |||
108134 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Cu) Chamber only | 300 mm | 1 | as is where is | |||
110950 | Applied Materials | Mirra Mesa (Spare parts) | light tower pcb - CMP controller | Spares | 5 | inquire | |||
106599 | Applied Materials | ENDURA 2 CHAMBER ONLY | TXZ ALD chamber | 300 mm | 1 | as is where is | immediately | ||
108135 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Cu) Chamber only | 300 mm | 1 | as is where is | |||
110951 | Applied Materials | Mirra Mesa (Spare parts) | SSD Harddisk - CMP controller | Spares | 5 | inquire | |||
93032 | Applied Materials | CENTRIS DPS MESA | Dry Etch, Twin 3chamber | 300 MM | 01.05.2010 | 1 | as is where is | immediately | |
108136 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Ta) Chamber only | 300 mm | 1 | as is where is | |||
108137 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Ta) Chamber only | 300 mm | 1 | as is where is | |||
93034 | Applied Materials | CENTURA ENABLER E2 | Oxide Etcher /server OS PC | 300 MM | 31.05.2007 | 1 | as is where is | ||
106602 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | |||
108138 | Applied Materials | ENDURA 2 CHAMBER Only | PCXT Chamber only | 300 mm | 01.06.2019 | 1 | as is where is | ||
93035 | Applied Materials | CENTURA ENABLER E5 | Oxide Etcher /server OS PC | 300 MM | 31.05.2010 | 1 | as is where is | ||
106605 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | |||
108141 | Applied Materials | ENDURA CL Chamber Only | ESIP TAN (No Target) Chamber only | 300 mm | 1 | as is where is | |||
110701 | Applied Materials | P5000 | Poly Etcher 2 Chamber | 150 mm | 01.06.1995 | 2 | as is where is | immediately | |
106606 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | |||
113008 | Applied Materials | CENTURA | DXZ | 200 mm | 1 | as is where is | |||
106609 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN CHAMBER | 300 mm | 01.06.2002 | 1 | as is where is | immediately | |
113009 | Applied Materials | CENTURA DPS 2 | Metal 2ch / ASP 2ch | 300 mm | 01.06.2011 | 1 | as is where is | ||
106610 | Applied Materials | ENDURA CL Chamber Only | RPC | 300 mm | 01.06.2002 | 1 | as is where is | immediately | |
113010 | Applied Materials | CENTURA DPS 2 | Metal 2ch / ASP 2ch | 300 mm | 01.06.2007 | 1 | as is where is | ||
108147 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
70004 | Applied Materials | Baccini | Misc parts For Baccini Solar Line - see attached list | Spares | 1 | as is where is | immediately | ||
113012 | Applied Materials | CENTURA DPS2 G3 | Poly 2ch / Mesa 1ch | 300 mm | 01.06.2001 | 1 | as is where is | ||
108149 | Applied Materials | PRODUCER SE CHAMBER | PECVD Silane Chamber Only | 300 mm | 1 | as is where is | |||
106614 | Applied Materials | ENDURA2 VOLTA CO | CHAMBER QTY 2 SETS | 300 mm | 1 | as is where is | |||
108150 | Applied Materials | PRODUCER SE CHAMBER | PECVD TEOS Chamber Only | 300 mm | 1 | as is where is | immediately | ||
106615 | Applied Materials | G5-MESA | DRY ETCH EFEM | 300 MM | 1 | as is where is | |||
113015 | Applied Materials | CENTURA DPS2 G5 | Metal 3ch, Axiom 1ch | 300 mm | 01.06.2008 | 1 | as is where is | ||
106616 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | |||
110712 | Applied Materials | Centura 5200 HTF Epitaxial | 3 Chamber Atmospheric Epitaxial Deposition system | 200 MM | 01.06.1996 | 1 | as is where is | immediately | |
113016 | Applied Materials | CENTURA DPS2 G5 MESA | Poly 3ch / AXIOM 1ch / Server OS Type | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
110713 | Applied Materials | Centura 5200 HTF Epitaxial | 3 Chamber Atmospheric Epitaxial Deposition system | 200 MM | 01.06.1999 | 1 | as is where is | immediately | |
113017 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2000 | 1 | as is where is | ||
106618 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | |||
113018 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2002 | 1 | as is where is | ||
93051 | Applied Materials | P5000 | WXL | 150 mm | 31.05.1994 | 1 | as is where is | ||
113019 | Applied Materials | CENTURA PVD | Ti 2CH, Cu 1CH, Preclean 1CH | 200 mm | 01.06.2005 | 1 | as is where is | ||
110716 | Applied Materials | P5000 Mark 2 | 2 Chambers TEOS PECVD and 2 Chambers Dry Etch | 150 MM | 01.06.2000 | 1 | as is where is | immediately | |
113020 | Applied Materials | DPS2 G5-MESA EFEM ONLY | 300 mm | 1 | as is where is | ||||
106621 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch | 150 mm | 01.06.1996 | 1 | as is where is | ||
110717 | Applied Materials | P5000 | 3 Chambers PECVD TEOS | 150 MM | 01.06.1996 | 1 | as is where is | immediately | |
113021 | Applied Materials | ENDURA 2 (Blue Rack) | ALPS ESI 2CH, PcXT 1CH, Degas (STD) 2CH | 300 mm | 01.06.2005 | 1 | as is where is | ||
106622 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch | 200 mm | 01.06.1998 | 1 | as is where is | ||
113022 | Applied Materials | ENDURA 2 (Gray Rack) | AL 2CH, TTN 1CH, SIP 2CH, ALPS 1CH, PcXT 1CH, Degas (STD) 2CH | 300 mm | 01.06.2007 | 1 | as is where is | ||
106623 | Applied Materials | P5000 | DxL 2ch | 200 mm | 01.06.1996 | 1 | as is where is | ||
113023 | Applied Materials | ENDURA 2 (Gray Rack) | SIP 1CH, ALPS 3CH, PcXT 2CH, Degas (DMD) 2CH | 300 mm | 01.06.2007 | 1 | as is where is | ||
106624 | Applied Materials | PRODUCER GT | ACL 1ch / Server X | 300 mm | 1 | as is where is | |||
113024 | Applied Materials | P5000 | 2 MARK II | 150 mm | 01.06.1990 | 1 | as is where is | ||
113025 | Applied Materials | P5000 | 2 MARK II Oxide | 200 mm | 1 | as is where is | |||
114049 | Applied Materials | Centura AP AdvantEdge G5 Mesa Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
113026 | Applied Materials | P5000 | 2 Metal | 1 | as is where is | ||||
114050 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300 mm | 1 | as is where is | |||
113027 | Applied Materials | P5000 | 2 MXP oxide | 200 mm | 1 | as is where is | |||
113283 | Applied Materials | Verity 6i | CD-SEM | 200 mm | 01.06.2014 | 1 | as is where is | 2 months | |
114051 | Applied Materials | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300 mm | 1 | as is where is | |||
113028 | Applied Materials | P5000 | 2 Teos DLH, 2 MARK II | 200 mm | 01.06.1998 | 1 | as is where is | ||
114052 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
91269 | Applied Materials | CENTURA 5200 | MxP Poly | 200 mm | 1 | as is where is | |||
113029 | Applied Materials | P5000 | 3 DxZ | 200 mm | 01.06.1998 | 1 | as is where is | ||
114053 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
113030 | Applied Materials | P5000 | 3 Teos DLH, 1 MARK II | 150 mm | 01.06.1996 | 1 | as is where is | ||
114054 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
36487 | APPLIED MATERIALS | P-5000 DxL | DxL, TEOS | 150mm | 1 | inquire | |||
114055 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
101768 | Applied Materials | 0010-00557 REV A | Heat Exchanger | FACILITIES | 31.08.1988 | 1 | as is where is | immediately | |
113032 | Applied Materials | VANTAGE RADIANCE | RTP | 300 mm | 01.06.2002 | 1 | as is where is | ||
114056 | Applied Materials | Centura SiNgen Chamber | LPCVD | 200 mm | 1 | as is where is | |||
106633 | Applied Materials | PRODUCER SE | CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type | 300 mm | 01.06.2004 | 1 | as is where is | immediately | |
114057 | Applied Materials | ComPLUS MP | Darkfield Inspection | 200 mm | 1 | as is where is | |||
114058 | Applied Materials | ComPLUS MP | Darkfield Inspection | 200 mm | 1 | as is where is | |||
114059 | Applied Materials | Endura II Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
114060 | Applied Materials | Endura II Chambers: Multiple | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91277 | Applied Materials | Centura DPS II CHAMBER | Chamber only | 300 mm | 1 | as is where is | |||
106637 | Applied Materials | ENDURA 2 Chamber Only | (IMP Ti) | 300 mm | 1 | as is where is | |||
114061 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | immediately | ||
106638 | Applied Materials | ENDURA 2 Chamber Only | EXTENSA Ti | 300 mm | 01.06.2008 | 1 | as is where is | ||
114062 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91279 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114063 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91280 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114064 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91281 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114065 | Applied Materials | Oasis Clean | Batch Wafer Processing | 300 mm | 1 | as is where is | |||
91282 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114066 | Applied Materials | Oasis Clean | Batch Wafer Processing | 300 mm | 1 | as is where is | |||
91283 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114067 | Applied Materials | Oasis Clean | Batch Wafer Processing | 300 mm | 1 | as is where is | |||
91284 | Applied Materials | Etch dry chamber Only | AXIOM, DPS | 300 mm | 1 | as is where is | |||
114068 | Applied Materials | Producer GT PECVD Silane | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91285 | Applied Materials | Etch dry chamber Only | AXIOM, DPS | 300 mm | 1 | as is where is | |||
114069 | Applied Materials | Producer GT PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | immediately | ||
114070 | Applied Materials | Producer GT3 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91287 | Applied Materials | P5000 | DELTA DLH | 150 mm | 31.05.1993 | 1 | as is where is | ||
114071 | Applied Materials | Producer GT3 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
18840 | APPLIED MATERIALS | 0230-00101 | Precision etch 8300 | 1 | as is where is | ||||
114072 | Applied Materials | Producer GT3 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
18841 | APPLIED MATERIALS | 0230-09130 | Precision 5000 Mark II spare part identified | 1 | as is where is | ||||
105881 | Applied Materials | Reflexion GT | CMP system with integrated cleaner | 300 MM | 01.05.2011 | 1 | as is where is | immediately | |
114073 | Applied Materials | Reflexion LK - Poly/STI | Poly/STI CMP | 300 mm | 1 | as is where is | |||
18842 | APPLIED MATERIALS | 0230-09259 | Precision 5000 Mark II Mainframe and support equipment manual | 1 | as is where is | ||||
114074 | Applied Materials | Reflexion LK Copper | Copper CMP | 300 mm | 1 | as is where is | |||
18843 | APPLIED MATERIALS | 079-1202-0D | PR 5000 Advanced prev. And corrective main | 1 | as is where is | ||||
108699 | Applied Materials | Oasis | HF Wafer cleaning system | 300 MM | 01.06.2006 | 1 | as is where is | immediately | |
114075 | Applied Materials | Reflexion LK Oxide | Dielectric CMP | 300 mm | 1 | as is where is | |||
4252 | Applied Materials | 0230-09130 | P5000 SPARE PARTS IDENTIFIER | Spares | 01.01.1992 | 1 | as is where is | ||
18844 | APPLIED MATERIALS | 026-110-0B1 | PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures | 1 | as is where is | ||||
114076 | Applied Materials | VeritySEM 4i+ | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | |||
108701 | Applied Materials | Centura 5200 High K CVD Process Chamber | CVD Process Chamber | 200 mm | 1 | as is where is | immediately | ||
113309 | Applied Materials | Centura AP Enabler E5 | Dielectric Etcher with 4 process chambers | 300 mm | 01.04.2010 | 1 | as is where is | immediately | |
114077 | Applied Materials | VeritySEM 4i+ | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | |||
114078 | Applied Materials | VeritySEM 4i+ | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | |||
108705 | Applied Materials | Centura 5200 MxP Chamber | MxP Etching Chamber | 200 mm | 1 | inquire | immediately | ||
111521 | Applied Materials | Endura CL | PVD | 300 mm | 01.06.2001 | 1 | as is where is | ||
110767 | Applied Materials | Mirra 3400 Ontrak | CMP Polisher with Cleaning System | 200 mm | 01.05.1997 | 1 | as is where is | immediately | |
111539 | Applied Materials | 0040-21696B | ESC and heater | 8 inch | 1 | as is where is | immediately | ||
91316 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 1 | as is where is | |||
91317 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 1 | as is where is | |||
106944 | Applied Materials | Endura 5500 (spare parts) | Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts | 150 mm | 01.11.2001 | 1 | as is where is | immediately | |
112576 | Applied Materials | 0010-84457 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112577 | Applied Materials | 0010-87952 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112578 | Applied Materials | 0010-59788 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112579 | Applied Materials | 0010-87952 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112580 | Applied Materials | 0010-53901 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112581 | Applied Materials | 0010-24456 | Fully refurbished 300 mm MCA E-Chuck assembly with QC report | 300 mm | 1 | inquire | immediately | ||
112582 | Applied Materials | 0010-27430 | Fully Refurbished 300 mm MCA E-Chuck assembly with QC report | 300 mm | 1 | inquire | immediately | ||
112583 | Applied Materials | 0042-11389 | 300mm AL heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112584 | Applied Materials | 0010-28715 | Fully Refurbished 300 mm TXZ ECS Heater with QC report | 300 mm | 1 | inquire | immediately | ||
111054 | Applied Materials | 0040-55456 | BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT | Spares | 5 | inquire | |||
111055 | Applied Materials | 0200-01903 | INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT | Spares | 5 | inquire | |||
111056 | Applied Materials | 0020-19258 | PEDESTAL TITANIUM 300MM PCII - PC-XT | Spares | 5 | inquire | |||
112080 | Applied Materials | Centura | CVD EPI + SiCoNi | 300 mm | 01.06.2011 | 1 | as is where is | ||
111057 | Applied Materials | 0021-19342 | SHIELD INNER 300MM PCII/RPC+ - PC-XT | Spares | 5 | inquire | |||
112081 | Applied Materials | Centura | CVD RP EPI + SiCoNi | 300 mm | 01.06.2010 | 1 | as is where is | ||
110802 | Applied Materials | Endura | IMP Ti / TiN Physical Vapor Deposition System | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
111058 | Applied Materials | 0040-86514 | SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT | Spares | 5 | inquire | |||
112082 | Applied Materials | Centura 4.0 Radiance | RTP with 2 x Radiance Plus Toxic ATM chambers | 300 mm | 01.06.2001 | 1 | as is where is | ||
93395 | Applied Materials | UVision 600SP | DUV Brightfield Wafer Defect Inspection System | 300 mm | 01.06.2008 | 1 | as is where is | immediately | |
111059 | Applied Materials | 0040-07291 | DEPOSITION RING ADV 101 300MM - DSTTN | Spares | 5 | inquire | |||
112083 | Applied Materials | Centura 4.0 Radiance | RTP with 2 x Radiance Plus Toxic ATM chambers | 300 mm | 01.06.2002 | 1 | as is where is | ||
111060 | Applied Materials | 0021-26609 | SHUTTER DISK, A101, TTN, CENTER PIN, BLUE, 300MM - DSTTN | Spares | 5 | inquire | |||
112084 | Applied Materials | Centura AP eMAX CT+ | Etch OX emax CT Plus 3 chambers | 300 mm | 01.06.2007 | 1 | as is where is | ||
111061 | Applied Materials | 0021-22064 | COVER RING, TTN, 300MM PVD - DSTTN | Spares | 5 | inquire | |||
112085 | Applied Materials | Centura AP ISPRINT | CVD VIA W, with 4 chambers | 300 mm | 01.06.2006 | 1 | as is where is | ||
111062 | Applied Materials | 0021-22065 | SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN | Spares | 5 | inquire | |||
112086 | Applied Materials | Centura Avatar (Chamber) | Etch OX Chamber only, position D | 300 mm | 01.06.2016 | 1 | as is where is | ||
110807 | Applied Materials | 0240-31390 | HCLU Load Cup Assy - CMP Polisher and Lower Mirra | Spares | 5 | as is all rebuilt | 2 months | ||
111063 | Applied Materials | 0021-21234 | SHIELD, UPPER HI-COND TIN LONG, 300MM PVD - DSTTN | Spares | 5 | inquire | |||
112087 | Applied Materials | Centura Axiom Chamber | Etch Strip Chamber only, without s/n | 300 mm | 01.06.2004 | 1 | as is where is | ||
110808 | Applied Materials | 0010-77153 | Wet Robot Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
111064 | Applied Materials | 0021-26565 | SHUTTER DISK - Aluminum | Spares | 5 | inquire | |||
112088 | Applied Materials | Centura DPS Metal 4 chamber | Metal Etch DPS Metal*2CH, ASP+*2CH, Steelhead1 Chiller*3 | 200 mm | 01.06.2000 | 1 | as is where is | ||
110809 | Applied Materials | 1080-01170 | Platen Motor Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
111065 | Applied Materials | 0021-45259 | SHIELD, 1-PIECE, BEADBLAST, SST, STD PVD - Aluminum | Spares | 5 | inquire | |||
111577 | Applied Materials | Endura (Chamber) | Process chamber (Suitable for spares use) | 300 mm | 01.01.2009 | 2 | as is where is | immediately | |
112089 | Applied Materials | Centura DPS2 4 chamber | Etch Poly 4x (D4) SILICON DPS II chamber,12 gas line ( Standard gas Line ) | 300 mm | 01.06.2005 | 1 | as is where is | ||
110810 | Applied Materials | 0190-14344 | NSK Motor/driver Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
111066 | Applied Materials | 0021-84173 | COVER RING - Aluminum | Spares | 5 | inquire | |||
112090 | Applied Materials | Centura DPS2 532 Metal 3 chamber | Etch Metal with EFEM (Yaskawa, NT), TM, 2xDPS532, 1xAxiom, AC Rack, | 300 mm | 01.06.2006 | 1 | as is where is | ||
106203 | Applied Materials | Mirra 3400 Stand-Alone | Oxide/STI CMP | 200 mm | 01.06.1998 | 1 | inquire | ||
110811 | Applied Materials | Mirra Mesa (Spare Parts) | Cable from polisher to controller - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
111067 | Applied Materials | 0200-07492 | DEPOSITION RING - Aluminum | Spares | 5 | inquire | |||
112091 | Applied Materials | Centura DPS2 AdvantEdge G5 Mesa HP 4 chamber | Etch Poly with EFEM(Server, Single Kawasaki), 3x Mesa HP, Axiom Chamber, AC Rack, Generator Rack, Accessories | 300 mm | 01.06.2014 | 1 | as is where is | ||
112603 | Applied Materials | Centura DPS | Metal etcher with 2 x DPS chambers and 2 x clean chambers | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
110812 | Applied Materials | Mirra Mesa (Spare Parts) | Head Spindle - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112092 | Applied Materials | Centura DPS2 AdvantEdge G5 Mesa HP 4 chamber | Etch Poly with EFEM(Server, Single Kawasaki), 3x Mesa HP, Axiom Chamber, AC Rack, Generator Rack, Accessories | 300 mm | 01.06.2015 | 1 | as is where is | ||
112604 | Applied Materials | Centura EPi SiCoNi Reduced Pressure | Reduced Pressure 2 x EPI deposition with1 x SiCoNi chamber | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
110813 | Applied Materials | 0040-77041 | Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112093 | Applied Materials | Centura DPS2 Metal 3 chamber | Etch Metal with EFEM(Kawasaki, Server), TM, 3x G2 Metal, AC Rack, 1x Side Storage, 2x SMC Chiller, 3x Cathode Chiller, Utility Box, Tote | 300 mm | 01.06.2005 | 1 | as is where is | ||
112605 | Applied Materials | Centura RTP XE+ | RTP system with TPCC XE+ RP AB: Gate-ox (DPN+RTO);C:Singen Spacer | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
109534 | Applied Materials | 990-004763-015 | Pad Con Analog Pneumatic Unit | Spares | 1 | as is where is | immediately | ||
110814 | Applied Materials | 3080-01119 | Platen Motor Belt - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112094 | Applied Materials | Centura DPS2 Metal 4 chamber | Etch Metal with Windows NT, DPS2 Metal x2CH, ASP2 x2CH | 300 mm | 1 | as is where is | |||
106207 | Applied Materials | 0240-20611 REV E | KIT SLIT VALVE PER CHAMBER REV E | Spares | 01.06.1999 | 1 | as is where is | immediately | |
109535 | Applied Materials | 990-004763-015 (Upgrade) | Upgrade for Pad Con Analog Pneumatic Assembly | Spares | 1 | as is where is | immediately | ||
110815 | Applied Materials | 0190-17083 | Platen Polish Bearing - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112095 | Applied Materials | Centura DPS2 Poly 4 chamber | Etch Poly with EFEM(Kawasaki, Server), TM, 3x G5, 1x Axiom(No VODM), AC Rack, Chiller | 300 mm | 01.06.2006 | 1 | as is where is | ||
91616 | Applied Materials | ENDURA CL Chamber Only | AL | 300 mm | 1 | as is where is | |||
106208 | Applied Materials | 0040-13659 REV P1 | BLOCK, MTG | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110816 | Applied Materials | 3970-00030 | Platen Gear Box/ Head - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112096 | Applied Materials | Centura DPS2 Poly 4 chamber | Etch Poly with EFEM, TM, 3x DPS2 Poly; 1x Axiom, AC Rack, Side Storage, EE-EAS-12 | 300 mm | 01.06.2007 | 1 | as is where is | ||
106209 | Applied Materials | 0020-21089 | SHIELD | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110817 | Applied Materials | 0190-77114 | Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112097 | Applied Materials | Centura DPS2 Poly 4 chamber | Etch Poly with EFEM(Kawasaki, Server), TM, 3x G5 Poly, 1x Axiom, AC Rack, Chiller | 300 mm | 01.06.2007 | 1 | as is where is | ||
91618 | Applied Materials | ENDURA CL Chamber Only | Chamber 1 (Ver. 001) CPI-VMO | 300 mm | 1 | as is where is | |||
106210 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1998 | 1 | as is where is | immediately | |
110818 | Applied Materials | 0010-12344 | Pad cond Assembly - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112098 | Applied Materials | Centura DPS2 Poly 3 chamber | Etch Poly with EFEM, TM, 2x DPS2 Poly, 1x Axiom, AC Rack | 300 mm | 01.06.2006 | 1 | as is where is | ||
106211 | Applied Materials | 0050-20072 REV B | GAS LINE CHAMBER 3 HEATER MFC 1 VCR | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110819 | Applied Materials | 0190-77016 | Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112099 | Applied Materials | Centura DT Hart 3 chamber | Etch Oxide with EFEM, TM, 3x DT Hart, AC Rack, Chiller | 300 mm | 01.06.2002 | 1 | as is where is | ||
106212 | Applied Materials | 0050-76652 REV A | GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED | Spares | 01.06.1999 | 1 | as is where is | immediately | |
106980 | Applied Materials | Reflexion | CMP system | 300 mm | 1 | inquire | immediately | ||
110820 | Applied Materials | 0190-77015 | Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112100 | Applied Materials | Centura Enabler Chamber | Etch Oxide Chamber only | 300 mm | 01.06.2006 | 1 | as is where is | ||
106213 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1999 | 2 | as is where is | immediately | |
106981 | Applied Materials | Reflexion LK | CMP system | 300 mm | 1 | inquire | immediately | ||
109541 | Applied Materials | Centura AP DPS 2 G5 | Polysilicon etcher, 3 chamber | 300 mm | 01.08.2008 | 1 | as is where is | immediately | |
110821 | Applied Materials | 0010-77721 | Wet Robot Blade - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112101 | Applied Materials | Centura Enabler Chamber | Etch Oxide Chamber only | 300 mm | 01.06.2006 | 1 | as is where is | ||
106214 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE | Spares | 01.06.1999 | 5 | as is where is | immediately | |
106982 | Applied Materials | Mesa | CMP cleaning system | 300 mm | 1 | inquire | immediately | ||
109542 | Applied Materials | Centura DPS II Advantedge POLY | POLY ETCHER, 4 CHAMBER | 300 mm | 01.06.2010 | 1 | as is where is | immediately | |
110822 | Applied Materials | 0020-78177 | Wet Robot blade gasket - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112102 | Applied Materials | CHARGER | PVD METAL with 3 x PVD, 2 x PC, missing robot controller, PC | 300 mm | 01.06.2012 | 1 | as is where is | ||
94439 | Applied Materials | Centura WCVD | WxZ Optima | 200 mm | 1 | as is where is | |||
106215 | Applied Materials | 0020-20483 REV E | HUB LAMP CORNER COVER | Spares | 01.06.1998 | 2 | as is where is | immediately | |
106983 | Applied Materials | Desica | CMP Cleaning system | 300 mm | 1 | inquire | immediately | ||
109543 | Applied Materials | Centura AP DPS 2 Advantedge Mesa | Polysilicon Etcher with 4 chambers | 300 mm | 01.08.2006 | 1 | as is where is | immediately | |
110823 | Applied Materials | 0190-16236 | Wet robot -Wrist Motor - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112103 | Applied Materials | DPS2 532 Metal Chamber | Etch Metal Chamber Only | 300 mm | 01.06.2004 | 1 | as is where is | ||
106216 | Applied Materials | 0140-20502 REV D | HARN ASSY AC 2-PHASE DRIVER | Spares | 01.06.1999 | 1 | as is where is | immediately | |
106984 | Applied Materials | Kawasaki 4.0 | Fab Interface Module | 300 mm | 1 | inquire | immediately | ||
109544 | Applied Materials | Centura 5200 AP DPS2 Advantedge Carina Mesa | Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS | 300 mm | 01.05.2013 | 1 | as is where is | immediately | |
110824 | Applied Materials | 0190-16235 | Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112104 | Applied Materials | Electra Cu | ECD Copper Electroplating with mainframe, 1 x loadport and 3 x ECD cells | 300 mm | 01.06.2000 | 1 | as is where is | ||
106217 | Applied Materials | 0020-20523 | COVER | Spares | 01.06.1999 | 1 | as is where is | immediately | |
109545 | Applied Materials | Centura AP DPS II Advantedge | Polysilicon Etcher with 4 chambers | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
110825 | Applied Materials | 0190-17944 | Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112105 | Applied Materials | Endura 5500 | PVD cluster tool with NBLL/, HP, Ni-V x 1 , Ti x 1 , Mo x 1 , ALSi x 1 , AgAu x 1 ,Orienter Degas x 2 | 150 mm | 01.06.1995 | 1 | as is where is | ||
106218 | Applied Materials | 0240-70416 REV C | KIT BLOW OUT VALVE PER CHAMBER | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110826 | Applied Materials | 0100-77069 | ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112106 | Applied Materials | Endura 5500 | PVD cluster tool with NBLL, Orienter Degas x2 CH1 Durasource TN HP101 CH2 Durasource TN B101 CH3 Durasource TN B101 CH4 Durasource TN B101 CHD Durasource TN HP101 CHC Pre-clean CHA Passthrough CHB Passthrough ***Vita ctrl | 150 mm | 01.06.1996 | 1 | as is where is | immediately | |
106219 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110827 | Applied Materials | 0100-00845 | laser diode mdl 390a-670-5 - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112107 | Applied Materials | Endura CL | PVD cluster tool with EFEM(2 Ports, Kensington), XP Robot, 2x Degas, 1x TTN, 1x AL, 1x ALPS, CryoPumps, Chiller | 300 mm | 01.06.2000 | 1 | as is where is | ||
106220 | Applied Materials | 0190-20015 REV D | VALVE / MANIFOLD | Spares | 01.06.1998 | 1 | as is where is | immediately | |
110828 | Applied Materials | 0100-77064 | ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112108 | Applied Materials | Endura CL Al Chamber | PVD Endura CL Al chamber | 300 mm | 1 | as is where is | |||
106221 | Applied Materials | 3830-01018 REV | LABEL LASERTAB MARKER WHT POLYEST LAM | Spares | 01.06.1999 | 2 | as is where is | immediately | |
109549 | Applied Materials | Centura DPS2 AE Minos Poly | Dry Etch with 2CH DPS2 and 2 CH Axiom | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
110829 | Applied Materials | 0090-77110 | ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112109 | Applied Materials | Endura CL Chamber ALPS | PVD Endura CL ALPS Chamber | 300 mm | 1 | as is where is | |||
106222 | Applied Materials | 3830-01034 REV | LABEL LASERTAB MARKER WHT POLYEST LAM | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110830 | Applied Materials | 0720-02672 | Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112110 | Applied Materials | Endura CL Chamber | PVD SiP Ti Chamber ONLY | 300 mm | 01.06.2003 | 1 | as is where is | ||
106223 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1998 | 1 | as is where is | immediately | |
110831 | Applied Materials | 990-004763-015 | Pad Cond Analog Board - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112111 | Applied Materials | Orbot WF720 | Wafer Inspection system | 125 mm | 1 | as is where is | |||
106224 | Applied Materials | 3870-01281 REV | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 | Spares | 01.06.1999 | 3 | as is where is | immediately | |
110832 | Applied Materials | 0100-77017 | Lower Pneumatic Board - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112112 | Applied Materials | P5000 | CVD ILD BPTEOS with 3 process chambers | 200 mm | 01.06.1996 | 1 | as is where is | ||
106225 | Applied Materials | 3870-01284 | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110833 | Applied Materials | 990-004765-107 | Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112113 | Applied Materials | P5000 | Etch Metal with 2x Metal, 1xASP | 150 mm | 1 | as is where is | |||
106226 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110834 | Applied Materials | 990-004765-104 | Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112114 | Applied Materials | Producer S TEOS-BPSG | CVD TEOS-BPSG with 3 x twin chambers | 300 mm | 01.06.2002 | 1 | as is where is | ||
106227 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110835 | Applied Materials | MFC-8005-T2105-072-N-001 | Chemical Malema Pump - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112115 | Applied Materials | Quantum Leap II | Low Energy Implanter | 200 mm | 01.06.2000 | 1 | as is where is | ||
106228 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1998 | 1 | as is where is | immediately | |
110836 | Applied Materials | MFC-8000-T2104-052-P-001 | Slurry Malema Pump - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112116 | Applied Materials | Reflexion | CMP Oxide | 300 mm | 01.06.2006 | 1 | as is where is | ||
110837 | Applied Materials | UPM2-646NC | Furon Valve UPM2-646NC - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112117 | Applied Materials | Reflexion LK | CMP Oxide | 300 mm | 01.06.2008 | 1 | as is where is | ||
110838 | Applied Materials | UPM3-644 | Furon Valve UPM3-644 - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112118 | Applied Materials | Reflexion LK | CMP W | 300 mm | 01.06.2012 | 1 | as is where is | ||
108023 | Applied Materials | P5000 | CVD | 200 mm | 01.11.1990 | 1 | as is where is | ||
110839 | Applied Materials | UPM2-644NC | Furon Valve UPM2-644NC - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112119 | Applied Materials | SEMVision G3 Lite | Metrology DR-SEM | 300 mm | 01.06.2007 | 1 | as is where is | ||
112120 | Applied Materials | UVision 4 | Metrology Bright Field | 300 mm | 01.06.2009 | 1 | as is where is | ||
110841 | Applied Materials | 0140-08725 | P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD | Spares | 5 | inquire | |||
112121 | Applied Materials | UVision 4 | Metrology Bright Field | 300 mm | 1 | as is where is | |||
110842 | Applied Materials | 0140-77637 | P1 cable C/A Digital I/O head pneumatic - CMP HEAD | Spares | 5 | inquire | |||
71931 | Applied Materials | 0050-76664 REV A | GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE | spares | 01.06.1999 | 1 | as is where is | immediately | |
110843 | Applied Materials | 0140-77632 | Head Sweep Cable - CMP HEAD | Spares | 5 | inquire | |||
113403 | Applied Materials | 5200 Centura | CVD | 150 mm | 01.06.1997 | 1 | as is where is | ||
71932 | Applied Materials | 0020-20919 REV C | COVER CVD POST | spares | 31.05.1999 | 1 | as is where is | immediately | |
110844 | Applied Materials | 0190-77482 | Head Sweep Motor assy - CMP HEAD | Spares | 5 | inquire | |||
113404 | Applied Materials | 5200 Centura DPS | Plasma Etch | 150 mm | 01.06.1999 | 1 | as is where is | ||
71933 | Applied Materials | 0150-21344 | CHAMBER D INTERCONNECT EMC COMPLIANT | spares | 31.05.1999 | 1 | as is where is | immediately | |
110845 | Applied Materials | 0760-01034 | 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD | Spares | 5 | as is all rebuilt | 1 month | ||
111613 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | |||
113405 | Applied Materials | 5200 Centura DPS | Plasma Etch | 150 mm | 01.06.2001 | 1 | as is where is | ||
110846 | Applied Materials | 3870-02674 | Burkert Valve - CMP HEAD | Spares | 5 | inquire | |||
111614 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | |||
113406 | Applied Materials | 5200 HDP Centura | CVD | 150 mm | 01.06.2000 | 1 | as is where is | ||
110847 | Applied Materials | IT1001-N31-X6 | SMC UPA Regulator (X6) - CMP HEAD | Spares | 5 | inquire | |||
113407 | Applied Materials | 5200 HDP Centura | CVD | 150 mm | 01.06.1997 | 1 | as is where is | ||
110617 | Applied Materials ® | 0190-33289 | RTP CHAMBER LAMP | spares | 183 | as is where is | immediately | ||
105851 | Applied Materials ® | 079-018-05 | P5000 Mk II Functional description Practice exercises | Spares | 30.04.1995 | 1 | as is where is | immediately | |
105852 | Applied Materials ® | 0230-09258B | P5000 Mk II Mainframe and Support Equipment Manual | Spares | 30.06.1994 | 1 | as is where is | immediately | |
105853 | Applied Materials ® | 0230-00103 | Precision Etch 8300 Corrective Maintenance Rev 3 | Spares | 30.04.1990 | 2 | as is where is | immediately | |
105854 | Applied Materials ® | 0230-20005 | Endura Operations and Programming Training Course Student Workbook | Spares | 31.08.1993 | 1 | as is where is | immediately | |
105858 | Applied Materials ® | 0021-35163 Rev A | Gold-plated RTP Reflector Plate, 200 MM, chamber bottom | 200 mm | 31.05.1997 | 1 | as is where is | immediately | |
6536 | Applied Materials ® | 0230-09258 B | P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 | Spares | 01.07.1994 | 1 | as is where is | immediately | |
6537 | Applied Materials ® | 026-105-03 C | P5000 Mk II Functional description training manual | Spares | 01.04.1995 | 1 | as is where is | immediately | |
6538 | Applied Materials ® | 079-109-0D | P5000 Mk II Advanced calibration proceedures manual Jan 1995 | Spares | 01.01.1995 | 1 | as is where is | immediately | |
6539 | Applied Materials ® | 079-102-0D | P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 | Spares | 01.04.1996 | 1 | as is where is | immediately | |
6540 | Applied Materials ® | 026-110-0B.1 | P5000 Mk II Functional description , practice exercises and basic maintenance proceedures | Spares | 01.03.1996 | 1 | as is where is | immediately | |
113439 | ASM | Epsilon 2000 One | Epitaxial Deposition | 150 mm | 01.06.2002 | 1 | as is where is | ||
113440 | ASM | Epsilon 2000 One | Epitaxial Deposition | 150 mm | 01.06.1997 | 1 | as is where is | ||
113441 | ASM | Epsilon 2000 One | Epitaxial Deposition | 150 mm | 01.06.1999 | 1 | as is where is | ||
113442 | ASM | Epsilon 2000 One | Epitaxial Deposition | 150 mm | 01.06.2000 | 1 | as is where is | ||
113443 | ASM | Epsilon 2000 One | Epitaxial Deposition | 150 mm | 01.06.2006 | 1 | as is where is | ||
113444 | ASM | Epsilon 2000 One | Epitaxial Deposition | 150 mm | 01.06.2007 | 1 | as is where is | ||
113445 | ASM | Epsilon 2000 One | Epitaxial Deposition | 150 mm | 01.06.2005 | 1 | as is where is | ||
111659 | ASM | A412 POLY | Vertical Furnace | 300mm | 1 | as is where is | |||
111662 | ASM | Eagle-12 Rapidfire | PECVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
113711 | ASM | A400 | Diffusion Oxide VERTIC DOX | 150 mm | 01.06.1996 | 1 | as is where is | ||
109104 | ASM | AS899 (Spare Parts) | Stock of Spare Parts for ASM Die Sorters / Pick and place Die Bonders | Spares | 01.06.2009 | 51 | as is where is | immediately | |
111664 | ASM | NUCLEUS XP | Wafer Substrate Bonding | 300mm | 1 | as is where is | |||
113712 | ASM | A400 | Diffusion Oxide VERTIC DOX | 150 mm | 01.06.2000 | 1 | as is where is | ||
113713 | ASM | A400 | LPCVD TEOS | 150 mm | 01.06.1996 | 1 | as is where is | ||
113714 | ASM | A400 | LPCVD TEOS | 150 mm | 01.06.2000 | 1 | as is where is | ||
111414 | ASM | Islanda | Die Bonder (Dual Head) | 1 | as is where is | immediately | |||
109117 | ASM | XP8 | Dual Chamber PECVD system for Oxide and Nitride | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
108384 | ASM | Eagle-12 Rapidfire | PECVD (Chemical Vapor Deposition) | 300 mm | 3 | as is where is | |||
111461 | ASM | Eagle 12 | PECVD | 1 | as is where is | immediately | |||
106862 | ASM | A412 | Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon | 200 mm | 01.01.2019 | 1 | as is where is | immediately | |
106884 | ASM | AS899 (Spare Parts) | Spare Parts for Pick and Place Die Bonder | Spares | 01.06.2009 | 51 | as is where is | immediately | |
98443 | ASM | MS896 | LED sorter | 100mm | 10 | as is where is | immediately | ||
98444 | ASM | WS896 | LED sorter | 100mm | 10 | as is where is | immediately | ||
114079 | ASM | A412 POLY | Vertical Furnace | 300 mm | 1 | as is where is | |||
114080 | ASM | Eagle XP EmerALD | ALD (Atomic Layer Deposition) | 300 mm | 1 | as is where is | |||
81827 | ASM | 2890224-21 | Converter DTC | Spares | 1 | as is where is | immediately | ||
81828 | ASM | 2506556-21 | Processor DTC PCB | Spares | 01.03.2010 | 1 | as is where is | immediately | |
108713 | ASM | A400 HT | Vertical Furnace Oxide/Poly | 200 mm | 01.06.2003 | 1 | inquire | immediately | |
112602 | ASM | Epsilon 3000 | EPITAXIAL PROCESS | 300 mm | 01.06.2003 | 2 | as is where is | ||
109030 | ASM | AD810 | Automatic Die Attach Tool | Assembly | 01.09.2017 | 1 | as is where is | immediately | |
111352 | ASM | Eagle XP5 | Plasma-enhanced ALD system with 2 chambers for SiO and SIN | 300 mm | 01.06.2010 | 1 | immediately | ||
112122 | ASM | AD830 | DIE BONDER | 100 mm | 01.06.2008 | 1 | as is where is | ||
112123 | ASM | AD830+ | Die bonding | 100 mm | 01.06.2014 | 1 | as is where is | ||
112124 | ASM | Dragon 2300 | CVD PECVD Equipment for Barrier | 300 mm | 01.06.2003 | 1 | as is where is | ||
112125 | ASM | Eagle10 | CVD PETEOS | 200 mm | 01.06.1999 | 1 | as is where is | ||
112126 | ASM | Eagle12 | CVD CVD | 300 mm | 01.06.2004 | 1 | as is where is | ||
112127 | ASM | Epsilon 3200 | EPITAXIAL PROCESS | 300 mm | 01.06.2009 | 1 | as is where is | ||
111472 | ASM PACIFIC | IDEALMOLD 3G | MUF-G2 | 01.09.2014 | 2 | as is where is | |||
112128 | ASML | PAS 5500/400D | Stepper 280nm, I-Line Stepper | 200 mm | 01.06.2004 | 1 | as is where is | immediately | |
111665 | ASML | PAS 5500/100D | i-Line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | immediately | |
111668 | ASML | YieldStar S-250 | Overlay Measurement System | 300mm | 1 | as is where is | |||
113211 | ASML | TWINSCAN XT:400F | I-line lithography exposure system | 300 mm | 1 | as is where is | |||
110685 | ASML | Yieldstar S100 | Overlay measurement System | 300 mm | 01.06.2008 | 1 | as is where is | immediately | |
109159 | ASML | YieldStar S-250 | Overlay Measurement System | 300 mm | 1 | as is where is | immediately | ||
113006 | ASML | PAS 5500/100D | i-Line Stepper | 200 MM | 2 | as is where is | immediately | ||
110703 | ASML | AT1100B Twinscan | DUV Lithography exposure system 193 nm | 200 mm and 300 mm | 01.06.2001 | 1 | as is where is | immediately | |
114081 | ASML | PAS 5500/100B | i-Line Stepper | 150 mm | 1 | as is where is | |||
114082 | ASML | PAS 5500/100D | i-Line Stepper | 150 mm | 1 | as is where is | |||
114083 | ASML | PAS 5500/100D | i-Line Stepper | 150 mm | 1 | as is where is | |||
114084 | ASML | TWINSCAN XT:1250D | 193nm (ArF) Scanner | 300 mm | 1 | as is where is | |||
114085 | ASML | YieldStar S-100B | Overlay Measurement System | 300 mm | 1 | as is where is | |||
114086 | ASML | YieldStar S-250 | Overlay Measurement System | 300 mm | 1 | as is where is | |||
113322 | ASML | XT1400F | Twinscan XT 1400F Scanner (ArF (193nm)) | 200 mm | 1 | as is where is | immediately | ||
112587 | ASML | PAS5500 /200 | i-line lithography | 200 mm | 01.06.1996 | 3 | as is where is | immediately | |
112588 | ASML | PAS5500 /200B | i-line lithography | 200 mm | 01.06.1997 | 1 | as is where is | immediately | |
110797 | ASML | Twinscan XT400G | i LINE SCANNER | 300 mm | 1 | as is where is | immediately | ||
112589 | ASML | PAS5500 /250C | i-line lithography | 200 mm | 01.06.2000 | 5 | as is where is | immediately | |
110799 | ASML | Twinscan XT400F | i LINE SCANNER | 300 mm | 1 | as is where is | immediately | ||
109286 | ASML | Twinscan AT850B | 193nm DUV Scanner | 300 mm | 01.11.2002 | 1 | as is where is | immediately | |
111343 | ASML | XT-1900-Gi | Immersion Lithography System | 300 mm | 01.06.2009 | 1 | as is where is | immediately | |
108728 | ASYMTEK | Millenium 620 | Glue Dispense Tool | 1 | as is where is | ||||
113950 | Asymtek / Nordson | Spectrum S820 | Precision Batch Fluid Dispensing System | 1 | inquire | ||||
112661 | Baseline-Mocon | 8800PID | Volatile Organic Vapor Analyzer | Facilities | 1 | as is where is | |||
108730 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces | 1 | as is where is | ||||
108731 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces | 1 | as is where is | ||||
108732 | BAUSCH & LOMB | StereoZoom 6 Plus | Microscope, 0.67-4.0X with WF 10X/21 Eyepieces | 1 | as is where is | ||||
108733 | BAUSCH & LOMB | StereoZoom 7 | Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens | 1 | as is where is | ||||
112662 | Bausch and Lomb | MicroZoom | Microscope,Incident Light | 1 | as is where is | ||||
77169 | Bimba Ultran | US-1737.125-S | Rodless Pneumatic Actuator | Spares | 1 | as is where is | immediately | ||
77170 | Bimba Ultran | US-1734.125-S | Rodless Pneumatic Actuator | Spares | 1 | as is where is | immediately | ||
112663 | Blue M | AC-7602TDA-3 | Temperature and humidity chamber | 1 | as is where is | ||||
112664 | Blue M | AC-7702TDC-2 | Temperature and humidity chamber | 1 | as is where is | ||||
113724 | Blue M | dcc-206c | WET ETCH Bake blueM oven | 150 mm | 01.06.2001 | 1 | as is where is | ||
113725 | Blue M | dcc-206c | WET ETCH Bake blueM oven | 150 mm | 01.06.2001 | 1 | as is where is | ||
106427 | Blue M | CC-13-C-P-B | Blue M CC-13-C-P-B | 150 mm/200 mm | 1 | as is where is | |||
114022 | BMR | GAN (A49-3) | ETCH | 100 mm | 1 | as is where is | |||
106641 | BMR | HIDEP | PECVD | N/A | 01.06.2006 | 1 | as is where is | ||
112667 | Brooks Automation | 001-1570-87 | Robot Vacuum Arm | SPARES | 2 | as is where is | |||
112668 | Brooks Automation | ACUTRAN 7 | Wafer Transfer Robot & Aligner | SPARES | 1 | as is where is | |||
112669 | Brooks Automation | Fixload 6 V6M 013096-057-20 | Load Port - 300mm | SPARES | 1 | as is where is | |||
112670 | Brooks Automation | MagnaTran 7F | Vacuum Wafer Transfer Frogleg Robot | SPARES | 1 | as is where is | |||
112671 | Brooks Automation | VCE2 | Cassette Elevator | SPARES | 8 | as is where is | |||
112672 | Brooks Automation | VCE2 | Wafer Elevator/Aligner | SPARES | 3 | as is where is | |||
112673 | Brooks Automation | VTR5 | Vacuum Atmosphere Robot | SPARES | 1 | as is where is | |||
103821 | Brooks Automation | DBM2407-V2 | Dual Arm Robot | Spares | 01.12.2006 | 2 | as is where is | immediately | |
103822 | Brooks Automation | DBM2407-V2 | Dual Arm Robot | Spares | 01.12.2006 | 1 | inquire | immediately | |
108740 | BROOKS AUTOMATION | ATM-305 | 3 Axis Robot, Refurbished | spares | 1 | as is where is | immediately | ||
77154 | Cam York | ST-17-08-153-01-154-01-0-00-C010(1) | Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM | Spares | 1 | as is where is | immediately | ||
106645 | CAMECA | EX300 | Secondary Ion Mass Spectrometer | 300 mm | 1 | as is where is | immediately | ||
114087 | CAMECA | EX-300 | Implant Dosing Measurement | 300 mm | 1 | as is where is | |||
84244 | Camloc | RS-182 | GAS SPRING | Spares | 2 | as is where is | immediately | ||
112137 | Camtek | EM3i | Metrology Macro Inspection | 300 mm | 1 | as is where is | |||
109579 | Cascade Microtech | Summit 11000M | Prober | 200 mm | 1 | as is where is | immediately | ||
112677 | Cascade Microtech | M150 | Prober | 1 | as is where is | ||||
112678 | Cascade Microtech | REL-3200 | Prober | 1 | as is where is | ||||
110700 | Cascade Microtech | Summit 12000B-HS | Manual Prober with isolation table and heated chuck | 150 mm | 01.11.2009 | 6 | as is where is | immediately | |
56140 | CentroTherm | DO 12.000-200-FF-HTO-CAN-NT4.0 | Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer | Solar | 01.05.2001 | 1 | as is where is | immediately | |
56144 | Centrotherm | Centronic E2000 | Horizontal diffusion furnace for POCl3 doping | 156 mm | 01.05.2003 | 1 | as is where is | immediately | |
103801 | Centrotherm | DO-FF-8600-300 | Belt Reflow Furnace for solar cell production (Fast Firing Furnace) | Solar | 01.05.2008 | 1 | as is where is | immediately | |
77190 | Centrotherm | Quartz Door | Centrotherm/semco(?) Quartz Door, new | 156mm | 1 | as is where is | immediately | ||
98721 | Centrotherm | E 2000 HT 300-4 | Horizontal Diffusion Furnace for POCl3 doping | Solar | 01.05.2008 | 1 | as is where is | immediately | |
98722 | Centrotherm | E 2000 HT 320-4 | Nitride Diffusion Furnace for Anti-refective coating PECVD | Up to 300 mm | 01.05.2008 | 1 | as is where is | immediately | |
98725 | Centrotherm | Loader | Furnace Loader | Solar | 31.05.2008 | 1 | as is where is | immediately | |
113947 | CFM | Full-Flow | WET ETCH CFM process Injection module upgrade CFM | 150 mm | 1 | as is where is | |||
113339 | Chroma | TV assembly Line 50~75" | TV assembly Line 50~75" (NEW, UNUSED) | 50-75 INCH | 01.01.2020 | 1 | as is where is | immediately | |
21122 | CLEAN ROOM TABLE | CLEANROOM TABLE | STEEL CLEANROOM TABLE | FACILITIES | 1 | as is where is | immediately | ||
113308 | clone 150 MW | Complete | Solar Cell and Module Production Line for M6 cells | Solar | 01.06.2018 | 1 | as is where is | immediately | |
112684 | Comdel | CPS-5000/13.56 | RF Generator 5KW 13.56 MHZ | SPARES | 1 | as is where is | |||
109372 | COMDEL | CB-5000 | RF GENERATOR | Spares | 1 | as is where is | |||
109373 | COMDEL | CLX-1000 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109374 | COMDEL | CLX-10K | RF GENERATOR | Spares | 28 | as is where is | |||
109375 | COMDEL | CLX-10K | RF GENERATOR | Spares | 1 | as is where is | |||
109376 | COMDEL | CLX-1250 | RF GENERATOR | Spares | 3 | as is where is | immediately | ||
109377 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 2 | as is where is | immediately | ||
109378 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109379 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109380 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 3 | as is where is | immediately | ||
109381 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109382 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109383 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109384 | COMDEL | CX-10K | RF GENERATOR | Spares | 7 | as is where is | |||
109385 | COMDEL | LFMN MATCH | RF GENERATOR | Spares | 1 | as is where is | |||
107379 | Comdel | CLX 600 | RF Generator | Spares | 1 | as is where is | immediately | ||
109972 | Comdel | CB300 | Generator | SPARES | 1 | inquire | |||
109973 | Comdel | CB300 | Generator | SPARES | 1 | inquire | |||
109974 | Comdel | CB300 | Generator | SPARES | 1 | inquire | |||
109975 | Comdel | CB300 | Generator | SPARES | 1 | inquire | |||
109976 | Comdel | CB4000 | Generator | SPARES | 1 | inquire | |||
109977 | Comdel | CB4000 | Generator | SPARES | 1 | inquire | |||
109978 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109979 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109980 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109981 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109982 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109983 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109984 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109985 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109986 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109987 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109988 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109989 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109990 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109991 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109992 | Comdel | CB600 | Generator | SPARES | 1 | inquire | |||
109993 | Comdel | CB600 | Generator | SPARES | 1 | inquire | |||
109994 | Comdel | CB600 | Generator | SPARES | 1 | inquire | |||
109995 | Comdel | CB600 | Generator | SPARES | 1 | inquire | |||
109996 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
109997 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
109998 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
109999 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
110000 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
110001 | Comdel | CB600S | Generator | SPARES | 1 | inquire | |||
110002 | Comdel | CD-1500 | Generator | SPARES | 1 | inquire | |||
110003 | Comdel | CDX-1000 | Generator | SPARES | 1 | inquire | |||
110004 | Comdel | CLF 5000 | Generator | SPARES | 1 | inquire | |||
110005 | Comdel | CLX 600 | Generator | SPARES | 1 | inquire | |||
110006 | Comdel | CLX 600H | Generator | SPARES | 1 | inquire | |||
110007 | Comdel | CLX 600H | Generator | SPARES | 1 | inquire | |||
110008 | Comdel | Controller | Miscellaneous | SPARES | 1 | inquire | |||
110009 | Comdel | CPM 1000 | Match | SPARES | 1 | inquire | |||
110010 | Comdel | CPM-1000/REMOTE | Miscellaneous | SPARES | 1 | inquire | |||
110011 | Comdel | CPM-5000/REMOTE | Miscellaneous | SPARES | 1 | inquire | |||
110012 | Comdel | CPM-5000/REMOTE | Miscellaneous | SPARES | 1 | inquire | |||
110013 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | |||
110014 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | |||
110015 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | |||
110016 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | |||
110017 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | |||
110018 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | |||
110019 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | |||
110020 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | |||
110021 | Comdel | CPS 1000 | Generator | SPARES | 1 | inquire | |||
110022 | Comdel | CPS 1000 | Generator | SPARES | 1 | inquire | |||
110023 | Comdel | CPS 3000 | Generator | SPARES | 1 | inquire | |||
110024 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | |||
110025 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | |||
110026 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | |||
110027 | Comdel | CV 500 | Generator | SPARES | 1 | inquire | |||
110028 | Comdel | CV 500 | Generator | SPARES | 1 | inquire | |||
110029 | Comdel | CX 2500S | Generator | SPARES | 1 | inquire | |||
110030 | Comdel | CX 600H | Generator | SPARES | 1 | inquire | |||
110031 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | |||
110032 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | |||
110033 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | |||
110034 | Comdel | CX2500S | Generator | SPARES | 1 | inquire | |||
110035 | Comdel | ESC-2 | Power Supply | SPARES | 1 | inquire | |||
110036 | Comdel | ESC-2 | Power Supply | SPARES | 1 | inquire | |||
110037 | Comdel | LFMN8/5 | Match | SPARES | 1 | as is all rebuilt | immediately | ||
109386 | COMET | 101187 | RF GENERATOR | Spares | 1 | as is where is | |||
109387 | COMET | 101187 | RF GENERATOR | Spares | 4 | as is where is | |||
109388 | COMET | 101187 | RF GENERATOR | Spares | 1 | as is where is | |||
109389 | COMET | 300159 | RF GENERATOR | Spares | 1 | as is where is | |||
110672 | Complete | G6 | Flat Panel Display Production line | 1500mm x 1850mm | 01.06.2005 | 1 | as is where is | immediately | |
53025 | COMPUMOTOR | M57-51 | Lead screw, KLA p/n 655-026035-00 | spares | 1 | as is where is | immediately | ||
108741 | Contamination Control | Desiccator boxes, 10ea Available | Facilities | 10 | as is where is | immediately | |||
109044 | CVD Equipment Corporation | Easy Tube 3000 | Growth Equipment | 06.01.2009 | 2 | as is where is | |||
106018 | Cymer | 01-13500-07 | Paddle for Cymer 4300 laser | Spares | 30.06.1996 | 1 | as is where is | immediately | |
113323 | Cymer | XLA165 | Excimer Laser, 193 nm , Dry | Facilities | 1 | as is where is | immediately | ||
52159 | Cymer | ELS 4300 | Maintenance Tool kit and spare parts | SPARES | 01.06.1998 | 1 | as is where is | immediately | |
109032 | DDM Novastar | 1800HT | Reflow Oven | SMT | 1 | as is where is | immediately | ||
112717 | Delta T Systems | TCWC-N-H460S | Chiller | Chiller | 1 | as is where is | |||
76954 | Dimetric | JGT-10F | Relay 380V 80A | spares | 4 | as is where is | immediately | ||
83876 | DOMNICK HUNTER | QR 010 REV.4.0 | FILTER | 30.09.2007 | 2 | as is where is | immediately | ||
79520 | Eurotherm | 2408f | Temperature controller | 01.01.2001 | 3 | as is where is | immediately | ||
83515 | Extraction Systems | TMB 150 | Photoresist Contamination Monitor System / Total Amine Analyzer | Facilities | 31.05.2004 | 1 | as is where is | immediately | |
110602 | FEI Company | XL820 | Dualbeam FIB | 16.06.2001 | 1 | as is where is | |||
106009 | FEI Company | FIB 200 | Single Beam FIB | Laboratory | 01.06.2003 | 1 | as is where is | immediately | |
110704 | FEI Company | 820 | Dual beam FIB SEM | Laboratory | 1 | as is where is | immediately | ||
106894 | FINETECH GmbH | Fineplacer 96 | Manual FlipChip Bonder | 1 | as is where is | immediately | |||
108817 | FOUR DIMENSIONS | CV92A | Semi Auomatic Mercury Probe CV Plotter | up to 200 mm | 01.06.1998 | 1 | inquire | immediately | |
110723 | Four Dimensions | CVMAP 3092-A | Wafer CV mapper - Mercury Probe | 100-200 MM | 01.06.2005 | 1 | as is where is | immediately | |
113774 | FSM | 8800 | Wafer Stress measurement | 150 mm | 1 | as is where is | |||
93084 | FSM | SYMPHONYMC | Life Time | 300 MM | 1 | as is where is | |||
107016 | Genmark | Various genmark robots and Edwards turbo pumps | Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot | Spares | 01.06.2013 | 9 | as is where is | immediately | |
108818 | GENMARK | S08R | Robot Controllers, PN 990010622, 2ea Available | SPARES | 1 | inquire | |||
98463 | Genmark | GB4/3L | Atmospheric wafer handling robot with controller | 200 mm | 1 | as is where is | immediately | ||
98464 | Genmark | GB8-MT-80050102 | Single arm Atmospheric wafer handling robot | 200 mm | 1 | as is where is | immediately | ||
101042 | Genmark | AVR series | Cleanroom Vacuum Robot only | Spares | 1 | as is where is | immediately | ||
106945 | Genmark | GB3 | Wafer handling Robot | Robot | 1 | as is all rebuilt | immediately | ||
76735 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately | |
76736 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately | |
76737 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately | |
76738 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately | |
76739 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 31.08.2004 | 1 | as is where is | immediately | |
110319 | Glassman High Voltage, Inc | PS/FL1.5F1.0 | Generator | SPARES | 1 | inquire | |||
111367 | HAMAMATSU | L8488-01 LC5 | Lightning Cure | 1 | as is where is | immediately | |||
71907 | Hamamatsu | C7103 | PC Controlled IC Back-side Lapping and Wafer Grinding System | 200 mm and packages | 01.09.2001 | 1 | as is where is | immediately | |
113321 | HAMATECH | HMx Square | Reticle and Mask Cleaner for 7 and 9 inch masks | reticle | 01.11.2008 | 1 | as is where is | immediately | |
84413 | HAMPTON | CYCLETROL 240 | CIRCUIT BREAKER | 1 | as is where is | immediately | |||
106042 | Hardwall cleanroom | Kingspan Ultratech Versatile | 950 sq mt ISO Class 8 cleanroom | facilities | 01.06.2023 | 1 | as is where is | immediately | |
110776 | Highmax | SHM-150 | Wafer mounter | 1 | as is where is | immediately | |||
110777 | Highmax | UV-200 | Curing Oven 8" | 1 | as is where is | immediately | |||
106479 | Highmax | UV-200 | Curing System | 150 mm/200 mm | 1 | as is where is | |||
109114 | HMI | EScan 400XP | E-Beam wafer inspection system | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
109115 | HMI | EScan EP3 | E-Beam wafer inspection system | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
114025 | HMI | eScan 400 | E-beam Defect Inspection | 300 MM | 01.06.2011 | 1 | as is where is | ||
82181 | hps mks | 90 degree flange | HPS MKS Stainless Steel VACUUM FITTING | 1 | as is where is | immediately | |||
77004 | ICP DAS Omega | DB-16R | Daughter Board | Spares | 01.01.2006 | 2 | as is where is | immediately | |
83617 | IKO NIPPON THOMPSON | LWHT 20 C1 R760 B T1 H S2 | LINEAR BEARING AND RAIL | 30.11.2008 | 1 | as is where is | immediately | ||
83618 | IKO NIPPON THOMPSON | T1 H S2 | LINEAR BEARING AND RAIL | 30.11.2008 | 1 | as is where is | immediately | ||
83619 | IKO NIPPON THOMPSON | BCS H S2 | LINEAR BEARING AND RAIL | 30.11.2003 | 1 | as is where is | immediately | ||
83626 | IKO NIPPON THOMPSON | PS1 | LINEAR BEARING | 30.11.2003 | 1 | as is where is | immediately | ||
84222 | IKO NIPPON THOMPSON | LWL 12 C2 | LINEAR BEARING AND RAIL | Spares | 01.11.2008 | 1 | as is where is | immediately | |
113316 | INVALID[INVALID[INVALID[Airology Systems]]] | ISO Class 7 | 250m2 Modular Clean Room | 1 | as is where is | immediately | |||
111365 | INVALID[MPI Thermal ] | ThermalAir TA5000A | Temperature Forcing System | 1 | as is where is | immediately | |||
111424 | INVALID[Simco] | A300 | Aerostat | 0 | as is where is | immediately | |||
108970 | IsMeca | NX16 | TEST SYSTEM | TEST | 01.06.2011 | 1 | as is where is | immediately | |
91419 | J.A Woollam | VUV-VASE (Gen II) | Ellipsometer | 300 mm | 1 | as is where is | |||
91420 | J.A Woollam | VUV-VASE VU302 (Gen I) | Ellipsometer | 200 mm | 31.05.2001 | 1 | as is where is | ||
113072 | J.A Woollam | VUV-VASE (Gen II) | Spectroscopic Ellipsometers | 300 mm | 1 | as is where is | |||
84552 | JC Systems | Model 510 | Controller and setpoint programmer | spares | 1 | as is where is | immediately | ||
98726 | Jonas and Redmann | SDB | Automated Loader for Baccini Printing Line | Solar | 31.05.2008 | 1 | as is where is | immediately | |
98727 | Jonas and Redmann | WHD (Wafer Handling Diffusion) | Automated Loader for Centrotherm E2000 Furnace | Solar | 01.05.2008 | 1 | as is where is | immediately | |
98728 | Jonas and Redmann | WHP (Wafer Handling Plasm) | Automated Loader for Anti Reflection Coating System | Solar | 01.05.2008 | 1 | as is where is | immediately | |
77014 | Jonas and Redmann | SDB A | AUTOMATED Loader for baccini Print Line | 156 mm | 01.06.2006 | 1 | as is where is | immediately | |
56310 | Jonas and Redmann | Q2 WHD A | Loader for Centrotherm E2000 furnace | 156 mm and 125 mm | 31.05.2003 | 1 | as is where is | immediately | |
106897 | Karl Suss Micro Tec | MA200 | Mask Aligner with CIC1000 lamp housing -suitable for spare use | 200 mm | 1 | as is where is | immediately | ||
106915 | Karl Suss Micro Tec | PA-200 | Wafer Prober Station | 01.06.2001 | 1 | as is where is | immediately | ||
106916 | Karl Suss Micro Tec | PA200 | Wafer Prober Station | 01.06.2006 | 1 | as is where is | immediately | ||
111601 | Karl Suss Micro Tec | MA150e | Mask Aligner with TSA | 150 mm / 100 mm | 01.06.2010 | 1 | as is all rebuilt | immediately | |
108836 | KINETIC SYSTEMS | Vibraplane 1202-22-12S | Vibration Isolation Table, 47" x 36" | SOLAR | 1 | inquire | |||
108759 | KINETIC SYSTEMS | Vibraplane 1201-01-11 | Vibration Isolation Table 30"x35"x29"(h) | 1 | as is where is | ||||
103386 | KNIEL System | CPD 5.12/6.3 | Power Supply, 321-019-02.00 | Spares | 30.04.2001 | 2 | as is where is | immediately | |
112226 | Lam | 2300 Exelan Flex 4 chamber | Dielectric Etch, V2, with 4 chambers | 300 mm | 01.06.2006 | 1 | as is where is | ||
112227 | Lam | 2300 Flex EX 3 chamber | Oxide etcher, V2 platform, with 3 chambers | 300 mm | 01.06.2010 | 1 | as is where is | ||
112228 | Lam | 2300 Kiyo 4 chamber | Etch Poly – 4 Kiyo CX chambers | 300 mm | 01.06.2007 | 1 | as is where is | ||
112232 | Lam | EOS | WET Single Cleaning | 300 mm | 01.06.2016 | 1 | as is where is | ||
112233 | Lam | EOS | WET Single Cleaning | 300 mm | 01.06.2013 | 1 | as is where is | ||
112234 | Lam | Rainbow 4420XL | Etch Poly | 150 mm, 200 mm | 01.06.1994 | 1 | as is where is | ||
106874 | Lam | 2300 Exelan Flex | Dry Etcher with 3 chambers | 300 mm | 01.07.2004 | 1 | as is where is | immediately | |
109441 | LAM | 832-038915-103 | RF GENERATOR | Spares | 1 | as is where is | |||
109442 | LAM | 832-038915-203 | RF GENERATOR | Spares | 1 | as is where is | |||
109443 | LAM | 853-040482-502 | RF GENERATOR | Spares | 1 | as is where is | |||
109444 | LAM | 853-040482-600 | RF GENERATOR | Spares | 1 | as is where is | |||
109445 | LAM | 853-085372-114 | RF GENERATOR | Spares | 1 | as is where is | |||
109446 | LAM | 853-085375-015 | RF GENERATOR | Spares | 1 | as is where is | |||
113803 | LAM | Avangard 776 | TF CMP Oxide POLISH OX | 150 mm | 01.06.1996 | 1 | as is where is | ||
113804 | LAM | Avangard 776 | TF CMP Oxide POLISH OX | 150 mm | 01.06.1996 | 1 | as is where is | ||
113805 | LAM | Avangard 776 | TF CMP Tungsten POLISH W | 150 mm | 01.06.1996 | 1 | as is where is | ||
113806 | LAM | 4400 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113807 | LAM | 4400 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113808 | LAM | 4420 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113809 | LAM | 4420 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113810 | LAM | 4420 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113811 | LAM | 4500 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113812 | LAM | 4500 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113813 | LAM | 4500 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113814 | LAM | 4520 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113815 | LAM | 4520 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113816 | LAM | 4520 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113817 | LAM | 4520 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113818 | LAM | 4520i | Oxide Etcher (Isotropic) | 150 mm | 01.06.1995 | 1 | as is where is | ||
113819 | LAM | 4520i | Oxide Etcher (Isotropic) | 150 mm | 01.06.1995 | 1 | as is where is | ||
113820 | LAM | 4520i | Oxide Etcher (Isotropic) | 150 mm | 01.06.1995 | 1 | as is where is | ||
113821 | LAM | 9400 SE | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113822 | LAM | 9400 SE | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113823 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113824 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113825 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113826 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113827 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113828 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113831 | LAM | DSS-200 | WET ETCH WET Chemical Clean POST CMP CLEANER | 150 mm | 01.06.1996 | 1 | as is where is | ||
113832 | LAM | Novellus C1 | TF BPSG - SACVD BPSG | 150 mm | 01.06.1995 | 1 | as is where is | ||
113833 | LAM | Novellus C1 | TF BPSG - SACVD BPSG | 150 mm | 01.06.1995 | 1 | as is where is | ||
113834 | LAM | Novellus C1 | TF BPSG - SACVD BPSG | 150 mm | 01.06.1995 | 1 | as is where is | ||
113835 | LAM | SYNERGY | TF WET Chemical Clean CLEANER | 150 mm | 1 | as is where is | |||
113836 | LAM | SYNERGY | TF WET Chemical Clean CLEANER | 150 mm | 1 | as is where is | |||
113090 | LAM | TORUS300K | DRY Bevel Etcher | 300 mm | 01.06.2006 | 1 | as is where is | ||
106691 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) | 300 mm | 01.06.2010 | 1 | as is where is | ||
106695 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) | 300 mm | 01.06.2014 | 1 | as is where is | ||
106696 | LAM | 2300 FX EX+ CHAMBER ONLY | PLASMA OXIDE ETCH | 300 mm | 01.06.2013 | 1 | as is where is | immediately | |
106697 | LAM | 2300 MWAVE STRPR CHAMBER | MWAVE STRIP (POLY) | 300 mm | 01.06.2018 | 1 | as is where is | ||
106698 | LAM | ALTUS | CVD | 300 mm | 01.06.2009 | 1 | as is where is | ||
106699 | LAM | FLEX FX CHAMBER ONLY | PLASMA OXIDE ETCH | 300 mm | 01.06.2013 | 1 | as is where is | ||
106701 | LAM | TORUS300K | DRY Bevel Etcher | 300 mm | 01.06.2006 | 1 | as is where is | ||
110326 | Lam | 6 inch RF Coil | Miscellaneous | SPARES | 1 | inquire | |||
110327 | Lam | 9500 | Match | SPARES | 1 | inquire | |||
110328 | Lam | 9500 | Match | SPARES | 1 | inquire | |||
110329 | Lam | Controller | Miscellaneous | SPARES | 1 | inquire | |||
110330 | Lam | Controller | Miscellaneous | SPARES | 1 | inquire | |||
110331 | Lam | Kiyo Poly Match | Match | SPARES | 1 | inquire | |||
110332 | Lam | Research Match | Match | SPARES | 1 | inquire | |||
110333 | Lam | TCP Upper Match | Match | SPARES | 1 | inquire | |||
110334 | Lam | TCP Upper Match | Match | SPARES | 1 | inquire | |||
110335 | Lam | Versys Poly match | Match | SPARES | 1 | inquire | |||
108446 | LAM / SEZ | SP304 | Single Wafer Processing | 300 mm | 1 | as is where is | |||
108447 | LAM / SEZ | SP4300 | Single Wafer Processing | 1 | as is where is | ||||
112601 | LAM / SEZ | SP 223 | Back-side wafer cleaning tool | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
106240 | Lam Research | 853-011168-002 Rev C | Surge Protector, Gap Drive Motor Assy | Spares | 01.07.1996 | 1 | as is where is | immediately | |
108038 | LAM RESEARCH | Spare Parts | Various Spare Parts for sale | Spares | 1 | as is where is | immediately | ||
111370 | LAM Research | 2300 Exelan Flex 45 | Etcher Asher | 12" / 300mm ICP | 1 | as is where is | immediately | ||
111371 | LAM Research | 2300 Strip 45 | 1 | as is where is | immediately | ||||
108572 | LAM RESEARCH | INOVA | PVD | 300mm | 01.06.2004 | 1 | as is where is | immediately | |
108573 | LAM RESEARCH | INOVA | PVD | 300mm | 01.06.2010 | 1 | as is where is | immediately | |
108574 | LAM RESEARCH | STRATA-3 | CVD | 300mm | 01.06.2021 | 1 | as is where is | immediately | |
108577 | LAM RESEARCH | Vector Express | CVD | 300 mm | 01.06.2003 | 1 | as is where is | immediately | |
100919 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2001 | 1 | as is where is | ||
100920 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2010 | 1 | as is where is | ||
100921 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2003 | 1 | as is where is | ||
106307 | Lam Research | Vector Express AHM | PECVD | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
113228 | LAM Research | 2300 KIYO EX | Poly Etcher | 300 mm | 1 | as is where is | |||
113229 | LAM Research | 2300 KIYO EX | Poly Etcher | 300 mm | 1 | as is where is | |||
113234 | LAM Research | 2300e4 KIYO MCX | Dry Etch | 300 mm | 1 | as is where is | |||
113236 | LAM Research | 2300e5 Exelan Flex FX | Oxide Etcher | 300 mm | 1 | as is where is | |||
113237 | LAM Research | 2300e5 Exelan Flex FX | Oxide Etcher | 300 mm | 1 | as is where is | |||
114028 | LAM Research | 2300 EXELAN | FLEX 4CH Silicon Etcher | 300 MM | 01.06.2005 | 1 | as is where is | ||
114029 | LAM Research | C3 SPEED MAX (LITE) | STI cvd 3CH | 300 MM | 01.06.2005 | 1 | as is where is | ||
113536 | Lam Research | 4506I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113537 | Lam Research | 4506I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113538 | Lam Research | 4526I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113539 | Lam Research | 4526I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113540 | Lam Research | 4526I | Plasma Etch | 150 mm | 01.06.2000 | 1 | as is where is | ||
105861 | Lam Research | 853-495477-001 Rev B | Cable | Spares | 30.11.2000 | 4 | as is where is | immediately | |
113541 | Lam Research | 4526I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
105862 | Lam Research | 853-017410-003 REV A | Assy. cable.LWR match,Pk det. | Spares | 30.11.2000 | 5 | as is where is | immediately | |
113542 | Lam Research | 4526I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
108167 | LAM Research | 2300 MWAVE STRPR | Chamber only | 300 mm | 01.06.2012 | 1 | as is where is | ||
113543 | Lam Research | 4526I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
110728 | LAM Research | 2300 | Dry etch cluster tool Mainframe without chambers | 200 MM | 01.06.2008 | 1 | as is where is | immediately | |
113544 | Lam Research | 4526I | Plasma Etch | 150 mm | 01.06.2000 | 1 | as is where is | ||
113545 | Lam Research | 4526XL | Plasma Etch | 150 mm | 01.06.2000 | 1 | as is where is | ||
113546 | Lam Research | 4526XL | Plasma Etch | 150 mm | 01.06.2000 | 1 | as is where is | ||
113547 | Lam Research | 4528I | Plasma Etch | 200 mm | 1 | as is where is | |||
113548 | Lam Research | 4528XL | Plasma Etch | 200 mm | 1 | as is where is | |||
113549 | Lam Research | Ontrak DSS200 series II | Post CMP scrubber | 150 mm | 01.06.1997 | 1 | as is where is | ||
113550 | Lam Research | Synergy | Post CMP scrubber | 150 mm | 01.06.1997 | 1 | as is where is | ||
113551 | Lam Research | Synergy | Post CMP scrubber | 150 mm | 01.06.1999 | 1 | as is where is | ||
113552 | Lam Research | Synergy | Post CMP scrubber | 150 mm | 01.06.1997 | 1 | as is where is | ||
113553 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 1 | as is where is | |||
113554 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113555 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.2002 | 1 | as is where is | ||
113556 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.2005 | 1 | as is where is | ||
113557 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.2005 | 1 | as is where is | ||
110998 | Lam Research | 857-073710-002 | KIT,SHIM,CLAMP - FLEX45/DS/DX | Spares | 5 | inquire | |||
113558 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.2005 | 1 | as is where is | ||
109207 | LAM Research | 2300 Exelan Flex FX - Chamber Only | Dielectric Etch | 300mm | 1 | as is where is | |||
110999 | Lam Research | 857-027108-001 | KIT,CHOKE RING - FLEX45/DS/DX | Spares | 5 | inquire | |||
113559 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.2005 | 1 | as is where is | ||
111000 | Lam Research | 857-027108-100 | KIT,CHOKE RING,TCP TO TP FLD SERIES - FLEX ES/EX+ | Spares | 5 | inquire | |||
113560 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.2005 | 1 | as is where is | ||
84377 | Lam Research | 810-06526-000 | ASSY.CBL.EF CONTROL.SNIPER | Spares | 31.05.2001 | 1 | as is where is | immediately | |
111001 | Lam Research | 857-120208-010 | KIT GSKT THRM TP TO CHOKE - FLEX FX | Spares | 5 | inquire | |||
113561 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.2005 | 1 | as is where is | ||
111002 | Lam Research | 857-120209-009 | KIT GSKT THRM CHOKE TO HTR - FLEX FX | Spares | 5 | inquire | immediately | ||
113562 | Lam Research | TCP 9600SE II standalone with DSQ - Plasma Etch | Plasma Etch | 150 mm | 01.06.2005 | 1 | as is where is | ||
111003 | Lam Research | 857-120209-305 | KIT GSKT THRM CHOKE - FLEX HX | Spares | 5 | inquire | immediately | ||
111004 | Lam Research | 857-120210-008 | KIT GSKT THRM HTR TO BP - FLEX FX | Spares | 5 | inquire | immediately | ||
111005 | Lam Research | 857-120210-302 | KIT GSKT THRM CHOKE - FLEX HX | Spares | 5 | inquire | immediately | ||
111006 | Lam Research | 713-066269-002 | FIT RING GAST GUARD ABE - ABE | Spares | 5 | inquire | immediately | ||
111007 | Lam Research | 713-069935-003 | GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ | Spares | 5 | inquire | immediately | ||
108704 | Lam Research | 490 Autoetch | Polysilicon Dry Etcher | 150 mm | 01.06.1990 | 3 | inquire | immediately | |
111008 | Lam Research | 713-072792-003 | GSKT, GUARD, OUTER ELECTD - FLEX45/DS/DX | Spares | 5 | inquire | immediately | ||
111009 | Lam Research | 713-073439-010 | RING, HER, FLEX45 - FLEX45 | Spares | 5 | inquire | immediately | ||
111010 | Lam Research | 410-01754-026-RW | KIT, THERMAL SHIM, CIP1 - CIP1 | Spares | 5 | inquire | immediately | ||
111011 | Lam Research | 714-072727-048 | GASKET(Q310-575924), FLEX/DS - FLEX45/DD/DS/DX | Spares | 5 | inquire | immediately | ||
111012 | Lam Research | 857-065064-004 | KIT, GASKET, ABE - ABE | Spares | 5 | inquire | immediately | ||
111013 | Lam Research | 857-072806-005 | KIT, GASKET, ELCTD - FLEX45/DS/DX | Spares | 5 | inquire | immediately | ||
111525 | LAM Research | STRATA-GX | CVD Cluster tool | 300 mm | 01.06.2016 | 1 | as is where is | immediately | |
111014 | Lam Research | 857-073299-001 | KIT, GASKET, TOP ELCTD - FLEX45/DS/DX | Spares | 5 | inquire | 6 months | ||
111015 | Lam Research | 857-073299-002 | TCP Q-PAD KIT - FLEX ES/EX+ | Spares | 5 | inquire | immediately | ||
102568 | LAM Research | TORUS 300K | Dry Etch | 2 | inquire | ||||
111016 | Lam Research | 857-085550-026 | ELECTRODE GASKET KIT FOR FLEX EX+ | Spares | 5 | inquire | immediately | ||
102569 | LAM Research | TORUS 300S | Dry Etch | 2 | inquire | ||||
111017 | Lam Research | 857-085550-046 | KIT GSKT ELECTD - FLEX GX/GXE | Spares | 5 | inquire | immediately | ||
111018 | Lam Research | 857-085550-822 | KIT GSKT ELECTD - FLEX FX | Spares | 5 | inquire | immediately | ||
111019 | Lam Research | 719-078253-060 | RING GASKET, GEL, CER 509 - FLEX FX | Spares | 5 | inquire | immediately | ||
111020 | Lam Research | 719-078253-103 | PKG, GASKET, GEL, CER, WIDE - FLEX FXP | Spares | 5 | inquire | immediately | ||
111021 | Lam Research | 713-072728-130 | RING, HTSK, ESC/CPLNG, 300MM, SP - FLEX EX | Spares | 5 | inquire | immediately | ||
111022 | Lam Research | 714-072728-117 | HEAT SINK R - FLEX EX+ | Spares | 5 | inquire | immediately | ||
111023 | Lam Research | 839-086924-050/839-086924-021 | INNER STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | immediately | ||
111024 | Lam Research | 839-086924-051/839-086924-025 | OUTER STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | |||
111025 | Lam Research | 839-086924-052 | C-Shroud ring STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | immediately | ||
111026 | Lam Research | 716-069688-005 | ELCTD,INR,SI,300MM - 2300 Flex | Spares | 5 | inquire | |||
111027 | Lam Research | 716-082039-001 | ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex | Spares | 5 | inquire | |||
111028 | Lam Research | 716-023013-037 | RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex | Spares | 5 | inquire | |||
111029 | Lam Research | 716-017112-533 | RING,WAP,17.07X1.4THK - 2300 Flex | Spares | 5 | inquire | |||
111541 | Lam Research | Vector (Parts) | Hub Only | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
111030 | Lam Research | 716-014843-303 | RING,WAP,QTZ,GENERIC,17.07ID,300MM - 2300 Flex | Spares | 5 | inquire | |||
111031 | Lam Research | 716-013402-008 | COV,H/E RING,QTZ - 2300 Flex | Spares | 5 | inquire | |||
111032 | Lam Research | 716-018468-092 | RING,COVER,OUTER,GND - 2300 Flex | Spares | 5 | inquire | |||
111033 | Lam Research | 716-014843-360 | RING,WAP,QTZ,GENERIC,17.07ID,300 - 2300 Flex | Spares | 5 | inquire | |||
111034 | Lam Research | 716-013402-063 | COV,H/E RING,QTZ - 2300 Flex | Spares | 5 | inquire | |||
111035 | Lam Research | 716-801667-003 | WIN, QTZ - 2300 Flex | Spares | 5 | inquire | |||
111036 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Flex | Spares | 5 | inquire | |||
111037 | Lam Research | 716-012640-011 | WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T | Spares | 5 | inquire | |||
111038 | Lam Research | 716-012639-024 | NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Star-T | Spares | 5 | inquire | |||
111039 | Lam Research | 716-026652-902 | RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T | Spares | 5 | inquire | |||
111040 | Lam Research | 716-026652-922 | RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T | Spares | 5 | inquire | |||
111041 | Lam Research | 716-031257-531 | RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T | Spares | 5 | inquire | |||
111042 | Lam Research | 716-031257-561 | RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T | Spares | 5 | inquire | |||
111043 | Lam Research | 716-008608-001 | WDO,SAPPHIRE,25MM X.087 - 2300 Star-T | Spares | 5 | inquire | |||
111044 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Star-T | Spares | 5 | inquire | |||
111045 | Lam Research | 716-012640-012 | WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo | Spares | 5 | inquire | |||
111046 | Lam Research | 716-012639-024 | NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo | Spares | 5 | inquire | immediately | ||
111814 | LAM Research | 2300 Versys Metal H | Metal Etch | 300mm | 1 | as is where is | |||
111047 | Lam Research | 716-026652-002 | RING,EDGE,BOT,200-300MM - 2300 Kiyo | Spares | 5 | inquire | |||
111048 | Lam Research | 716-026652-011 | RING,EDGE,BOT,200-300MM - 2300 Kiyo | Spares | 5 | inquire | |||
111049 | Lam Research | 716-031257-534 | RING,EDGE, TOP, EBP,2300 - 2300 Kiyo | Spares | 5 | inquire | |||
111050 | Lam Research | 716-031257-564 | RING,EDGE, TOP, EBP,300MM - 2300 Kiyo | Spares | 5 | inquire | |||
111051 | Lam Research | 716-008608-001 | WDO,SAPPHIRE,25MM X.087 - 2300 Kiyo | Spares | 5 | inquire | |||
111052 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Kiyo | Spares | 5 | inquire | |||
111053 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Versys Metal | Spares | 5 | inquire | |||
18898 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Drawings and schematics Vol 3 | 1 | as is where is | ||||
18899 | LAM RESEARCH | 406-240121-005 | TCP 9600SE Maintenance procedure | 1 | as is where is | ||||
18900 | LAM RESEARCH | 406-240122-007 | TCP 9600SE Drawing and schematics Vol 2 | 1 | as is where is | ||||
18901 | LAM RESEARCH | 406-240122-006 | TCP 9600SE Drawing and schematics Vol 1 | 1 | as is where is | ||||
114133 | LAM Research | 2300 Exelan Flex FX+ - Chamber Only | Dielectric Etch | 300 mm | 1 | as is where is | |||
18902 | LAM RESEARCH | 406-240121-006 | TCP 9600SE Drawing and schematics Vol 1 | 1 | as is where is | ||||
114134 | LAM Research | 2300 KIYO EX | Polysilicon Etch | 300 mm | 1 | as is where is | |||
18903 | LAM RESEARCH | 406-240121-007 | TCP 9600SE Drawing and schematics Vol 2 | 1 | as is where is | ||||
114135 | LAM Research | 2300 Versys Metal H | Metal Etch | 300 mm | 1 | as is where is | |||
18904 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Manual set addendum | 1 | as is where is | ||||
111576 | LAM RESEARCH | 2300 EXELAN FLEX | Dry Etching Chamber (Suitable for spares use) | 300 mm | 01.01.2013 | 5 | as is where is | immediately | |
114136 | LAM Research | 2300 Versys Metal H | Metal Etch | 300 mm | 1 | as is where is | |||
18905 | LAM RESEARCH | 409-240164-001 | TCP rainbow e oxide 9500 | 1 | as is where is | ||||
114137 | LAM Research | 2300e4 KIYO EX Metal | Metal Etch | 1 | as is where is | ||||
18906 | LAM RESEARCH | 406-240040-002 | TCP Rainbow 4500 system descriptions | 1 | as is where is | ||||
114138 | LAM Research | 2300e6 Exelan Flex HX | Dielectric Etch | 300 mm | 1 | as is where is | |||
18907 | LAM RESEARCH | 406-240203-074 | TPC 9400/9600 PTX alliance installation guide | 1 | as is where is | ||||
114139 | LAM Research | 2300e6 Exelan Flex HX | Dielectric Etch | 300 mm | 1 | as is where is | |||
18908 | LAM RESEARCH | 406-240101-001 | TCP 9400 SE installations and start up | 1 | as is where is | ||||
18909 | LAM RESEARCH | 407-240100-001 | TCP 9400 illustrated part identifier | 1 | as is where is | ||||
18910 | LAM RESEARCH | 406-240121-003 | TCP 9600 SE system operation | 1 | as is where is | ||||
18911 | LAM RESEARCH | 406-240121-002 | TCP 9600 SE system description | 1 | as is where is | ||||
18912 | LAM RESEARCH | 406-240101-005 | TCP 9400 SE maintenance classic | 1 | as is where is | ||||
18913 | LAM RESEARCH | 406-240203-074 | TCP 9400/9600 PTX alliance installation guide | 1 | as is where is | immediately | |||
18914 | LAM RESEARCH | 409-240163-001 | Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface | 1 | as is where is | ||||
18915 | LAM RESEARCH | 406-240100-005 | TCP 9400 maintenance procedure | 1 | as is where is | ||||
18916 | LAM RESEARCH | 406-240101-008 | Drawings and schematics Vol3 | 1 | as is where is | ||||
18917 | LAM RESEARCH | 406-240101-006 | Drawings and schematics Vol3 | 2 | as is where is | ||||
18918 | LAM RESEARCH | 406-240101-005 | Drawings and schematics Vol1 | 1 | as is where is | ||||
18919 | LAM RESEARCH | 406-240101-007 | Drawings and schematics Vol2 | 2 | as is where is | ||||
18920 | LAM RESEARCH | 406-240122-009 | Drawings and schematics Vol4 | 1 | as is where is | ||||
111340 | LAM RESEARCH | CONCEPT 3 (Parts) | Preclean module | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
18927 | LAM RESEARCH | 406-240122-008 | Drawing and schematics Vol3 | 1 | as is where is | ||||
18928 | LAM RESEARCH | 406-240122-010 | Drawing and schematics Vol5 | 1 | as is where is | ||||
106820 | Lam Research Ontrak | Synergy | Post CMP cleaner with HEPA mini-environment | 200 mm | 1 | as is where is | immediately | ||
111584 | Lam Research Ontrak | Synergy | Post CMP cleaner with HEPA mini-environment | 200 mm | 1 | as is where is | immediately | ||
110336 | Lambda | SPA 400 | Generator | SPARES | 1 | inquire | |||
82879 | LAMBDA | CKS-36-21A | 36V DC Regulated power supply, Advantest T5335P | Spares | 1 | as is where is | immediately | ||
13044 | Lambda | CA1000 | Alpha 1000W CA1000 Power Supply | Spares | 01.01.2004 | 1 | as is where is | immediately | |
15066 | LAMBDA PHYSIK | Novaline K2005 | 248 nm excimer laser for ASML /300 | facilities | 01.06.2002 | 1 | as is where is | immediately | |
112783 | Lauda-Brinkmann | WK500 | Chiller | Chiller | 1 | as is where is | |||
113093 | LG SEMICON | CLS-9002 | 3rd Optical Inspection | N/A | 01.06.1997 | 1 | as is where is | ||
108843 | LOOMIS | LCD 2P | Wafer Scriber with Breaker Option | 1 | inquire | ||||
94476 | LUMONICS | SUPERCLEAN | Laser Marking System | 200 mm | 1 | as is where is | |||
113859 | Lumonics | Laser Mark II | Metrology Laser Mark Laser Mark | 150 mm | 1 | as is where is | |||
113860 | Lumonics | Laser Mark II | Metrology Laser Mark Laser Mark | 150 mm | 1 | as is where is | |||
112849 | M&W Systems | RPCX-17A-VER | Chiller | Chiller | 1 | as is where is | |||
112847 | M.T.A. S.p.A. | TAE EVO 121 | Chiller | Chiller | 1 | as is where is | |||
112848 | M.T.A. S.p.A. | TAE EVO 121-SS | Chiller | Chiller | 1 | as is where is | |||
77092 | MAC | 225B-111BAAA | Pneumatic solenoid valve | 1 | as is where is | ||||
108844 | MACTRONIX | UKA-625 | Eureka III Jr. Manual Wafer Transfer | 1 | inquire | ||||
108845 | MACTRONIX | UKA-825 | Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers | 200 MM | 1 | inquire | |||
108764 | MACTRONIX | UKA-650 | Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers | 150 mm | 1 | as is where is | |||
94599 | MagneTek | DS 316 | GPD 503 | SPARES | 1 | as is where is | |||
84026 | Maple Systems | HMI530TV45 | Touch Screen | spares | 01.01.2006 | 1 | as is where is | immediately | |
84072 | Maple Systems | HMI530TV45 | Touch Screen | spares | 01.01.2006 | 1 | as is where is | immediately | |
113972 | March | PX1000 | Plasma Asher | 300 mm | 1 | inquire | |||
113973 | March | PX500 | Plasma Asher | 150 mm | 1 | inquire | |||
110741 | March | AP-1000 | Plasma Cleaning System | Assembly | 01.06.2000 | 2 | as is where is | immediately | |
112850 | March Instruments | PM-600 | Box Plasma Etcher | 1 | as is where is | ||||
112851 | March Instruments | PX-2400 | Box Plasma Etcher | 1 | as is where is | ||||
112852 | March Instruments | PX-500 | Box Plasma Etcher | 1 | as is where is | ||||
83885 | MATHESON | TRI.GAS | ROTAMETER | 3 | as is where is | immediately | |||
18835 | MATRIX | 0995-99844 | System one etcher model 403 installations and operations manual | 2 | as is where is | ||||
18836 | MATRIX | 0995-99842 | system one etcher model 303 installations and operations manual | 1 | as is where is | ||||
18837 | MATRIX | 0995-99844 | Installation and operations manual | 1 | as is where is | ||||
18838 | MATRIX | 0995-99829 | Oem user's manual | 1 | as is where is | ||||
113861 | Matrix | 105 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1994 | 1 | as is where is | ||
113862 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1995 | 1 | as is where is | ||
113863 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1995 | 1 | as is where is | ||
113864 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1996 | 1 | as is where is | ||
113865 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1997 | 1 | as is where is | ||
113866 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1997 | 1 | as is where is | ||
113867 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1997 | 1 | as is where is | ||
113868 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1999 | 1 | as is where is | ||
113869 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1999 | 1 | as is where is | ||
113870 | Matrix | 403 | Poly Etcher | 150 mm | 01.06.1996 | 1 | as is where is | ||
111827 | Matrix | Bobcat 208 | Stripper/Asher | 200mm | 1 | as is where is | |||
83882 | MATSUSHITA | BBC 35 N | INTERRUPTOR CAP SYS | 01.05.2010 | 1 | as is where is | immediately | ||
83637 | MATSUSHITA | HP2-DC 24V | RELAY HP | 20 | as is where is | immediately | |||
108578 | MATTSON | PARADIGM_SI | DRY ETCH | 300mm | 01.06.2012 | 1 | as is where is | immediately | |
108579 | MATTSON | PARADIGM_SI | Poly-silicon etcher | 300 mm | 01.05.2011 | 1 | as is where is | immediately | |
112235 | Mattson | Aspen 2 | Stripper/Asher | 200 mm | 01.06.1994 | 1 | as is where is | ||
112236 | Mattson | Aspen 3 | Stripper/Asher | 300 mm | 01.06.2009 | 1 | as is where is | ||
112237 | Mattson | AST3000 | RTP 12-inch wafer rapid thermal processing equipment | 300 mm | 01.06.2007 | 1 | as is where is | ||
108910 | Mattson | AST3000 | RTP | 200 mm | 01.09.2002 | 1 | as is where is | immediately | |
112238 | Mattson | Helios | RTP | 200 mm | 01.06.2009 | 1 | as is where is | ||
112239 | Mattson | Millios | RTP | 300 mm | 01.06.2012 | 1 | as is where is | ||
112240 | Mattson | ParadigmE | Etch | 300 mm | 01.06.2012 | 1 | as is where is | ||
112241 | Mattson | ParadigmE XP | Light Etch | 300 mm | 01.06.2010 | 1 | as is where is | ||
109447 | MATTSON | RFS3019 | RF GENERATOR | Spares | 1 | as is where is | |||
108184 | MATTSON | MILLIOS | Rapid Thermal Anneal | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
18845 | MATTSON | 17500675-00 | Aspen OEM manual volume I | 2 | as is where is | ||||
18846 | MATTSON | 17500675-00 | Aspen OEM manual volume II | 3 | as is where is | ||||
18847 | MATTSON | 17500675-00 | Aspen OEM manual volume III | 3 | as is where is | ||||
18848 | MATTSON | 299-31000-00 | Aspen strip system manual | 6 | as is where is | ||||
113095 | MATTSON | HELIOS | RTP | 300 mm | 01.06.2010 | 1 | as is where is | ||
113096 | MATTSON | HELIOS | RTP | 300 mm | 01.06.2006 | 1 | as is where is | ||
113871 | MATTSON | MATTSON - Full Flow | WET Etch Oxide WET Process | 150 mm | 01.06.1995 | 1 | as is where is | ||
113872 | MATTSON | MATTSON - Full Flow | WET Etch Oxide WET Process | 150 mm | 01.06.1996 | 1 | as is where is | ||
113873 | MATTSON | MATTSON - Full Flow | WET Etch Oxide WET Process | 150 mm | 01.06.1996 | 1 | as is where is | ||
113874 | MATTSON | MATTSON - Full Flow | WET Etch Oxide WET Process | 150 mm | 01.06.1996 | 1 | as is where is | ||
111830 | Mattson | Aspen III | Stripper/Asher | 300mm | 1 | as is where is | |||
111831 | Mattson | Aspen III | Stripper/Asher | 300mm | 1 | as is where is | |||
111832 | Mattson | Aspen III | Stripper/Asher | 300mm | 1 | as is where is | |||
111833 | Mattson | Aspen III ICP | Stripper/Asher | 300mm | 1 | as is where is | |||
111834 | Mattson | Aspen III ICP | Stripper/Asher | 300mm | 1 | as is where is | |||
111835 | Mattson | Aspen III ICPHT | Stripper/Asher | 300mm | 8 | as is where is | |||
114140 | Mattson | Suprema | Stripper/Asher | 1 | as is where is | ||||
98281 | MATTSON | HELIOS | RTP | 300 mm | 31.01.2006 | 1 | as is where is | immediately | |
98284 | MATTSON | PARADIGME SI | Polysilicon Etch | 300 mm | 1 | as is where is | |||
109552 | Mattson | Aspen 3 ICP | Dual Chamber Light Etcher | 300 mm | 01.07.2008 | 1 | as is where is | immediately | |
112599 | Mattson/Steag | SHS 2800 | Rapid Thermal Anneal | 200 mm SMIF | 01.06.1999 | 1 | as is where is | immediately | |
79571 | Mazzali | Climatest C320G5 | Temperature and humidity testing chamber | Reliability | 01.05.1993 | 1 | as is where is | immediately | |
79572 | Mazzali | Climatest C320G5 | Temperature and humidity testing chamber | Reliability | 01.05.1993 | 1 | as is where is | immediately | |
111473 | MDC (Materials Development Corp.) | 8604 | DuoChuck Microscope Stand | 150 mm | 01.05.1995 | 1 | inquire | immediately | |
4007 | MDC (Materials Development Corp.) | DUO CHUCK 8512-6 | Dual Integrated Hot Chuck CV measurement system in a dark box | 150 mm | 01.05.1995 | 1 | inquire | immediately | |
108284 | Mechatronic | mWS200/300t | Wafer sorter with 4 load ports | 300 mm | 01.06.2012 | 1 | as is where is | immediately | |
106821 | MECS | UTC 820Z | atmospheric wafer handling robot( Hitachi CD-SEM) | 200 mm | 1 | as is where is | immediately | ||
106822 | MECS | UTW-FS5500S | Atmospheric wafer handling robot | NA | 1 | as is where is | immediately | ||
98474 | MECS | OF 250 | wafer pre-aligner(Hitachi CDSEM 8820/8840) | 200mm | 3 | as is where is | immediately | ||
98476 | MECS | UTC 801P | atmospheric wafer handling robot( WJ-1000) | 200mm | 2 | as is where is | immediately | ||
98477 | MECS | UTX 1100 | atmospheric wafer handling robot(ASM eagle-10) | 200 mm | 2 | as is where is | immediately | ||
98478 | MECS | UTX 1200 | Atmospheric wafer handling robot(ASM eagle-10) | 200 mm | 1 | as is where is | immediately | ||
108765 | MEI | VQ-20-ASA-H-SM | Variable Throttle Valve | 1 | as is where is | ||||
80244 | MELLES GRIOT | 05-LHP-121 | HE NE Laser (Unused) | Spares | 01.12.1996 | 1 | as is where is | immediately | |
113565 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113566 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113567 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113568 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113569 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113570 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113571 | Memmert | Cleanroom dryoven UN750 | Parts cleaner | 150 mm | 1 | as is where is | |||
113572 | Memmert | Memmert Venticell | Parts cleaner | 150 mm | 1 | as is where is | |||
113573 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113574 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113575 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113576 | Memmert | Memmert | Postbake | 150 mm | 01.06.2000 | 1 | as is where is | ||
113577 | Memmert | Memmert | Postbake | 150 mm | 01.06.2000 | 1 | as is where is | ||
110789 | Mentor Graphics | Veloce 2 Quattro | Hardware Emulation System | 1 | as is where is | immediately | |||
114141 | Metryx | Mentor DF3 | Mass Measurement | 300 mm | 1 | as is where is | |||
113329 | Meyer Burger | MAiA 2.1 PlasmaMax | PECVD Tool for Di-electric layer deposition (SiN, SiO) | 156 mm square (200 mm) | 01.06.2014 | 1 | as is where is | immediately | |
108766 | Micro Automation | 2066 | Mask and wafer cleaner | 1 | as is where is | immediately | |||
108185 | Micro Vu | Vertex 420 | Precision coordinate measurement system | assembly | 1 | as is where is | immediately | ||
108846 | MICROAUTOMATION | M-1100 | Wafer Dicing Saw, for up to 6" Wafers | 150 MM | 1 | inquire | |||
71902 | Microcontrol | MWE Plus | UV Wafer Eraser with cassette loading | 200 mm , 150 mm, 125 mm | 01.05.2000 | 1 | as is where is | immediately | |
108580 | Micromanipulator | Probe Station 1 | Manual Prober with hot and cold chuck | 200 mm | 1 | as is where is | immediately | ||
84374 | MICRON | 256 MB | SYNCH | 1 | as is where is | immediately | |||
108847 | MICRONICS JAPAN CO. | MP-10 | Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners | 1 | inquire | ||||
84059 | MICROSPEED | PD-250C | PC-TRAC mouse | FUUTB02 | 1 | as is where is | immediately | ||
113974 | MicroTech | Solvent Wet Bench | 1 | inquire | |||||
106496 | Milara | Milara MTW-1 | Milara MiniTouch MTW-1 Wafer Screen Printer | 150 mm/200 mm | 1 | as is where is | |||
106495 | Milara | Milara MTW-1 | Milara MiniTouch MTW-1 Wafer Screen Printer | 150 mm/200 mm | 1 | as is where is | |||
80260 | MILLIPORE | WGGB06WR1 | WAFERGARD IN-LINE GAS FILTER | SPARES | 4 | as is where is | immediately | ||
80265 | MILLIPORE | FLUOROGARD-PLUS CWFA01PLV | filter CARTRIDGE | spares | 1 | as is where is | immediately | ||
80245 | MILLIPORE CORP | WGFG01HR1 | WAFERGARD F MINI IN-LINE GAS FILTER | 2 | as is where is | immediately | |||
82220 | Milton Roy | AA761-65S | Flow rate pump | 1 | as is where is | immediately | |||
108848 | MINATO | MM-6600 | Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available | 1 | inquire | ||||
79592 | Minato Electronics | 1940 | EPROM Programmer with additional memory | Electronics Test and Measurement | 01.05.2007 | 1 | as is where is | immediately | |
79593 | Minato Electronics | 1940 | EPROM Programmer | Electronics Test and Measurement | 01.05.2005 | 1 | as is where is | immediately | |
83812 | MINERTIA MOTOR | RM SERIES | MOTOR ELECTRIC | 30.09.1996 | 1 | as is where is | immediately | ||
83890 | MINERTIA MOTOR | RM SERIES | INDUCTION MOTOR | 01.03.1997 | 1 | as is where is | immediately | ||
111456 | MIRAE Corp. | M330H | Handler | 01.01.2009 | 1 | as is where is | immediately | ||
111415 | Mirtec | MV-3U | Desktop AOI | 1 | as is where is | immediately | |||
110337 | MISC | BPS MATCH | Match | SPARES | 1 | inquire | |||
110338 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | |||
110339 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | |||
110340 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | |||
83523 | MITSUBISHI | MR-J10A1 | AC SERVO | POWER 100 W | 1 | ||||
83554 | MITSUBISHI | FR-Z120-0.4K | PLC, INVERTER 200 V CLASS | FREQROL-Z120 | 1 | as is where is | immediately | ||
83638 | MITSUBISHI | Melservo MR-C10A1-UE | AC SERVO AMPLIFIER | 100-120 V | 31.03.2005 | 1 | as is where is | immediately | |
112853 | Mitutoyo | 176-901-1A | Microscope, Coaxial | 1 | as is where is | ||||
110341 | MKS | DCG 100E OPTIMA | Generator | SPARES | 1 | inquire | |||
110342 | MKS | MW-5060 | Match | SPARES | 1 | inquire | |||
110343 | MKS | MW-5060 | Match | SPARES | 1 | inquire | |||
110344 | MKS | MW-5060 | Match | SPARES | 1 | inquire | |||
110345 | MKS | MW-5060 | Match | SPARES | 1 | inquire | |||
110346 | MKS | MWJ-1013 | Match | SPARES | 1 | inquire | |||
111378 | MKS | FI20620 Astex | Power supply | 1 | as is where is | immediately | |||
111405 | MKS | AX7685-20-C Astek Astron E | Remote Plasma Cleaner | 1 | as is where is | immediately | |||
108849 | MKS | NW100 | Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover | SPARES | 1 | inquire | |||
87366 | MKS | 653B-13064 | Type 653 Throttle Control Valve DN100 (4 INCH ID FLANGE) | Spares | 1 | as is where is | immediately | ||
111471 | MKS | OEM-6A-11491-51 | RF Generator | 0 | as is all rebuilt | immediately | |||
77940 | MKS | 627BX01MCC1B | Baratron 1mbar (CAPACITANCE MANOMETER) | Spares | 1 | as is where is | immediately | ||
109448 | MKS | ASTRON i | Remote Plasma Cleaner | Spares | 2 | as is where is | |||
109449 | MKS | ASTRONex | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109450 | MKS | AX3060-1 | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109451 | MKS | AX3063 | Remote Plasma Cleaner | Spares | 4 | as is where is | |||
109452 | MKS | AX3063ULVJ | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109453 | MKS | AX3151 | Remote Plasma Cleaner | Spares | 2 | as is where is | |||
109454 | MKS | AX7650 | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109455 | MKS | AX7657-2 | Remote Plasma Cleaner | Spares | 5 | as is where is | |||
109457 | MKS | AX9004 | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109458 | MKS | B-3013 | RF GENERATOR | Spares | 1 | as is where is | |||
109459 | MKS | B-5002 | RF GENERATOR | Spares | 1 | as is where is | |||
109460 | MKS | B-5002 | RF GENERATOR | Spares | 1 | as is where is | |||
109461 | MKS | D13449 | RF GENERATOR | Spares | 3 | as is where is | |||
109462 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
109463 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
109464 | MKS | DCG-200Z | RF GENERATOR | Spares | 2 | as is where is | |||
109465 | MKS | DCG-200Z | RF GENERATOR | Spares | 6 | as is where is | |||
109466 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
109467 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
109468 | MKS | DCG-200Z | RF GENERATOR | Spares | 2 | as is where is | |||
109469 | MKS | DCS80-13E | RF GENERATOR | Spares | 4 | as is where is | |||
109470 | MKS | FI20160-1 | RF GENERATOR | Spares | 1 | as is where is | |||
109474 | MKS | FI20608 | RF GENERATOR | Spares | 1 | as is where is | |||
109475 | MKS | FI20609 | RF GENERATOR | Spares | 1 | as is where is | |||
109477 | MKS | GEW-3540 | RF GENERATOR | Spares | 2 | as is where is | |||
109478 | MKS | GHW-12Z | RF GENERATOR | Spares | 2 | as is where is | |||
109479 | MKS | GHW-50Z | RF GENERATOR | Spares | 1 | as is where is | |||
109480 | MKS | GHW-85A | RF GENERATOR | Spares | 1 | as is where is | |||
109481 | MKS | GHW-85A | RF GENERATOR | Spares | 1 | as is where is | |||
109482 | MKS | GL-139 | RF GENERATOR | Spares | 1 | as is where is | |||
109483 | MKS | MWH-100-01M6 | RF GENERATOR | Spares | 1 | as is where is | |||
109484 | MKS | MWH-100-01M6 | RF GENERATOR | Spares | 1 | as is where is | |||
109485 | MKS | OEM-12 | RF GENERATOR | Spares | 2 | as is where is | |||
109486 | MKS | OEM-1250 | RF GENERATOR | Spares | 9 | as is where is | |||
109487 | MKS | OEM-25-11481 | RF GENERATOR | Spares | 1 | as is where is | |||
109488 | MKS | OEM-25G | RF GENERATOR | Spares | 1 | as is where is | |||
109489 | MKS | OEM-25N-01 | RF GENERATOR | Spares | 1 | as is where is | |||
109490 | MKS | OEM-6A-01 | RF GENERATOR | Spares | 1 | as is where is | |||
109491 | MKS | OEM-6A-11491-51 | RF GENERATOR | Spares | 1 | as is where is | |||
74164 | MKS | 154-0100P | High Vacuum Valve, NEW | spares | 31.12.2005 | 1 | as is where is | immediately | |
109492 | MKS | OEM-6AM-1B | RF GENERATOR | Spares | 2 | as is where is | |||
109493 | MKS | OEM25A-21091-51 | RF GENERATOR | Spares | 3 | as is where is | |||
109494 | MKS | PC-3G | RF GENERATOR | Spares | 1 | as is where is | |||
69856 | MKS | Type 624 | Baratron pressure transducer with trip points, range 1000 Torr, CE | spares | 1 | as is where is | immediately | ||
106085 | MKS ASTeX | Astron FI80131-R | Remote Plasma Source | Spares | 1 | as is where is | immediately | ||
101024 | MKS Instruments | 162-0040K | Inline Pneumatic Valve ISO-KF NW 40 flanges | spares | 1 | as is where is | immediately | ||
112854 | Modutek | RCe 025-2500-2 | Chiller | Chiller | 2 | as is where is | |||
84235 | Moeller | Xpole PLSM-C10/1 | mini breaker switch | Spares | 1 | as is where is | immediately | ||
18877 | MOSAID | 1000242-01 | MS3400 test system reference manual | 1 | as is where is | ||||
114142 | MOSAID | MS3480 | Design Verification Tester | 200 mm | 1 | as is where is | |||
108767 | MOSAID | MS4155 | Memory Test System | TEST | 1 | as is where is | immediately | ||
114143 | MOSAID | MS3480 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114144 | MOSAID | MS3480 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114145 | MOSAID | MS3490 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114146 | MOSAID | MS3490 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114147 | MOSAID | MS3490 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114148 | MOSAID | MS3490 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114149 | MOSAID | MS4205 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114150 | MOSAID | MS4205 | Design Verification Tester | 200 mm | 1 | as is where is | |||
108028 | MOSAID | M4205 | Memory Tester | TEST | 1 | as is where is | immediately | ||
112855 | MPM | SP2400 | SCREEN PRINTER WITH MANUAL VISION SYSTEM | SMT | 1 | as is where is | |||
108768 | MPM | SP200 | Screen Printer | smt | 1 | as is where is | immediately | ||
112856 | MRC | 902 | In-Line Sputtering System | 1 | as is where is | ||||
72156 | MRL | Black Max | Black max heater element, 850 celcius | Spares | 01.11.2006 | 1 | as is where is | immediately | |
109029 | MRSI | 505 | Die Attach/ Multichip Module | assembly | 01.01.2001 | 1 | as is where is | immediately | |
84372 | MS PRG WO | A9403789 | MOUSE MODEL No.240C | 01.02.1999 | 1 | as is where is | immediately | ||
108029 | MSP CORP. | 2300XP1 | Particle Depositioning | 300 mm | 1 | as is where is | |||
106242 | Muegge | MX4000D-110LL | MICROWAVE POWER SUPPLY | SPARES | 01.02.2002 | 8 | as is where is | immediately | |
82230 | Muegge | Controller | Controller, CAN controller, L/R sync | 01.12.2001 | 1 | as is where is | immediately | ||
79968 | Muegge | MW2009D-260ED | Magnetron Head 2.45GHZ | 01.12.2001 | 2 | as is where is | immediately | ||
77936 | Muegge | MX4000D-110LL | Microwave Power Supply | 3 | as is where is | immediately | |||
106497 | Muhlbauer | DS 10000 | DSD HIGH SPEED TAPE AND REEL SYSTEM | ASSEMBLY | 01.06.2006 | 1 | as is where is | immediately | |
106498 | Muhlbauer | DS 10000 | Muhlbauer DS10000 | 150 mm/200 mm | 1 | as is where is | |||
108964 | Multitest | MT2168 | test handler with hot, ambient and cryogenic cooling options | TEST | 01.06.2018 | 1 | as is where is | immediately | |
113347 | Multitest | MT9510 | Tri-temp Handler | TEST HANDLER | 3 | inquire | |||
111838 | Muratec Murata Machinery, Ltd. | CSS10 | Wafer Stocker | 300mm | 1 | as is where is | |||
108084 | Musashi | FAM2200 | Dispenser | SMT | 1 | as is where is | |||
108085 | Musashi | Short Master 200 | Dispenser | SMT | 1 | as is where is | |||
108086 | Musashi | Short Master 300 | Dispenser | SMT | 2 | as is where is | |||
113578 | Nabertherm | Cleanroom dryoven 30-3000�C | Partsclean | 150 mm | 1 | as is where is | |||
107027 | NANOMETRICS | Caliper Mosaic | Overlay measurement System | 300 mm | 01.08.2010 | 1 | inquire | immediately | |
109113 | Nanometrics | LynX 9010T | Optical CD Measurement (Scatterometry) system | 300 mm | 01.09.2008 | 1 | as is where is | immediately | |
106302 | Nanometrics | SIPHER | PL Mapping | 300 mm | 01.06.2002 | 1 | as is where is | immediately | |
106823 | Nanometrics | Nanospec 9100 | Oxide film thickness measurement (PC missing) | 200 mm | 01.03.2003 | 1 | as is where is | immediately | |
112242 | Nanometrics | Atlas II+ | Metrology Film Thickness Measurement | 300 mm | 1 | as is where is | |||
112243 | Nanometrics | Caliper Elan | Metrology Overlay | 200 mm | 01.06.2005 | 1 | as is where is | ||
112244 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2009 | 1 | as is where is | ||
112245 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2011 | 1 | as is where is | ||
112246 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2009 | 1 | as is where is | ||
112247 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2009 | 1 | as is where is | ||
103544 | NANOMETRICS | CALIPER ELAN | Overlay | 300 mm | 31.05.2004 | 1 | as is where is | ||
112248 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2011 | 1 | as is where is | ||
112249 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2011 | 1 | as is where is | ||
112250 | Nanometrics | NanoSpec AFT 180 | Metrology Thickness measurement | 150 mm | 1 | as is where is | |||
91526 | NANOMETRICS | Caliper Mosaic | Overlay | 300 mm | 31.05.2003 | 1 | as is where is | immediately | |
91529 | NANOMETRICS | Caliper Mosaic | Overlay | 300 mm | 28.02.2010 | 1 | as is where is | immediately | |
113579 | Nanometrics | RPM Blue | Photoluminescence measurement | 150 mm | 1 | as is where is | |||
98480 | Nanometrics | 8000X | film thickness measurement | 150 mm | 2 | as is where is | immediately | ||
98481 | Nanometrics | 8000Xse | film thickness measurement | 200 mm | 2 | as is where is | immediately | ||
98482 | Nanometrics | Caliper Mosaic (Parts) | EFEM Module, including a Brooks Razor robot | 300 mm | 1 | as is where is | immediately | ||
98483 | Nanometrics | Lynx EFEM | EFEM including a Kawasaki robot | 300 mm | 1 | as is where is | immediately | ||
108467 | Nanometrics | Tevet Trajectory T3 | Film Thickness Measurement System | 300 mm | 1 | as is where is | |||
106179 | Nanometrics | 9000 | Nanospec Wafer Metrology film thickness measurement tool | 200 mm | 01.06.2005 | 1 | as is all rebuilt | immediately | |
106959 | Nanometrics | 9000 (Spare Parts) | Spectroscopic Reflectometer | Spares | 01.06.2005 | 1 | as is where is | immediately | |
113103 | NANOMETRICS | CALIPER_MOSAIC | Optical Overlay measurement | 300 mm | 01.06.2003 | 1 | as is where is | ||
106960 | Nanometrics | 9000 (Spare Parts) | Computer Nanometrics P/n 7200-2432 | Spares | 01.06.2005 | 1 | as is where is | immediately | |
113104 | NANOMETRICS | CALIPER_MOSAIC | Optical Overlay measurement | 300 mm | 01.06.2001 | 1 | as is where is | immediately | |
101585 | NANOMETRICS | CALIPER ULTRA | Optical Overlay Measurement | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
113105 | NANOMETRICS | CALIPER_MOSAIC | Optical Overlay measurement | 300 mm | 1 | as is where is | |||
113107 | NANOMETRICS | CALIPER-ULTRA | Optical Overlay measurement | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
113875 | NANOMETRICS | 210 | Metrology Thickness Measurement NANO | 150 mm | 01.06.1988 | 1 | as is where is | ||
113876 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1988 | 1 | as is where is | ||
113109 | NANOMETRICS | NANOSPEC 8000 | Film Thickness Measurement System | N/A | 01.06.1996 | 1 | as is where is | ||
113877 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1988 | 1 | as is where is | ||
113878 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1988 | 1 | as is where is | ||
113879 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1997 | 1 | as is where is | ||
113880 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1997 | 1 | as is where is | ||
98289 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 31.05.2005 | 1 | as is where is | ||
98290 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 31.05.2005 | 1 | as is where is | ||
98291 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 30.06.2006 | 1 | as is where is | immediately | |
113315 | National Instruments | PXIe-4136 | Source Measurement Unit (SMU) | Electronics test and Measurement | 01.06.2023 | 20 | as is where is | immediately | |
69868 | National Instruments | GPIB120A | GPIB BUS EXPANDER / ISOLATOR | TEST | 31.05.1998 | 8 | as is where is | immediately | |
83545 | Nemic-LAMBDA | CKD-65/65 | 65V 6A DC Regulated power supply FOR Advantest T5335P | Spares | 1 | as is where is | immediately | ||
83546 | Nemic-LAMBDA | TKS_36-21A | 36V 21A DC Regulated power supply, Advantest T5335P | Spares | 1 | as is where is | immediately | ||
108032 | Nextest Systems Corporation | MAGNUM II ICP 1280 | Memory Tester | TEST | 01.04.2010 | 1 | as is where is | immediately | |
108030 | Nextest Systems Corporation | MAGNUM ICP 1280 | Memory Tester | TEST | 01.05.2010 | 1 | as is where is | immediately | |
112865 | Nexx Systems | Cirrus 300 | ECR PECVD RIE SYSTEM | 1 | as is where is | ||||
109283 | NOVA MEASURING | Novascan | Novascan CMP Endpoint measurement unit | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
109284 | NOVA MEASURING | Novascan | Novascan CMP Endpoint measurement unit | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
83567 | Numatics | 225-272B | Solenoid Valve | 01.04.2009 | 1 | as is where is | |||
74178 | Numatics | 12DSA4 OA00030 | Solenoid Valve 120V | 01.04.2009 | 1 | as is where is | |||
74179 | Numatics | 227-833B | valve | 3 | as is where is | ||||
74180 | Numatics | L22L-03 | FILTER PNEUMATIC | 01.01.2011 | 1 | as is where is | |||
113896 | OEM | HEATPULSE 4100 | TF RTP ANNEALING | 150 mm | 01.06.1994 | 1 | as is where is | ||
113897 | OEM | HEATPULSE 4100 | TF RTP ANNEALING | 150 mm | 01.06.1994 | 1 | as is where is | ||
113898 | OEM | HEATPULSE 4100 | TF RTP ANNEALING-R&D | 150 mm | 01.06.1996 | 1 | as is where is | ||
109058 | Olympus | AL110N-LMB6 | Microscope autoloader only (Not including the microscope) | 100 MM AND 150 mm | 1 | as is where is | immediately | ||
111400 | Olympus | KLA 1500 LCD | Cold light source with ring light and Adapter ring for different microscope sizes | Assembly | 4 | as is where is | immediately | ||
111401 | Olympus | Highlight 2100 | Cold light source with ring light | Assembly | 1 | as is where is | immediately | ||
111402 | Olympus | Highlight 2001 | Cold light source with ring light | Assembly | 1 | as is where is | immediately | ||
108857 | OLYMPUS | AL100-L8 | Wafer Loader, 200mm, Parts Tool | 200 MM | 1 | inquire | |||
106825 | Olympus | AL110-LMB6 with MX51 | wafer loader with Microscope | 150mm | 1 | as is where is | immediately | ||
110697 | Olympus | AL100-LB6 | Wafer autoloader (Not including microscope) | 100MM TO 150 MM | 2 | as is where is | immediately | ||
83835 | Olympus | WHK 10X/20L-H | EYEPIECES FOR TRINOCULAR MICROSCOPE | Spares | 2 | as is where is | immediately | ||
80254 | OLYMPUS | DBAP-FA-Z | SERVO DRIVER | 30W 500 PPR | 1 | as is where is | immediately | ||
65934 | Olympus | BH2-UMA | BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES | SPARES | 1 | as is where is | immediately | ||
106190 | Olympus | LH50A | Microscope illuminator, 50W 12 V | spares | 1 | as is where is | immediately | ||
111568 | Olympus | AL100-LB6 with BH3-MJL | Wafer autoloader with microscope | 100MM TO 150 MM | 1 | as is where is | immediately | ||
111569 | Olympus | AL100-LB6 with MX61L | Wafer autoloader with microscope | 100MM TO 150 MM | 1 | as is where is | immediately | ||
106201 | Olympus | BH3 (Parts) | Camera adapter and illuminator for Microscope | spares | 01.06.2005 | 1 | as is where is | immediately | |
109035 | Olympus | AL110N-LMB6 | Wafer Inspection Microscope with autoloader | 100 MM AND 150 mm | 1 | as is where is | immediately | ||
102638 | Olympus | BA124L001 | DC MOTOR W/ D500 GEAR HEAD | spares | 1 | as is where is | immediately | ||
102639 | Olympus | BA124L001 | DC MOTOR W/ D500 GEAR HEAD | spares | 1 | as is where is | immediately | ||
112879 | Olympus | AX70 | Microscope,Bright & Dark,Hg Reflected Lt | 1 | as is where is | ||||
112880 | Olympus | BH2 BHT | Microscope,Brightfield,Ref/Trans Light | 1 | as is where is | ||||
112881 | Olympus | BH2-UMA | Microscope,BF/DF/DIC,Reflected Light | 1 | as is where is | ||||
108786 | OLYMPUS | BH-BHM | Wafer Inspection Microscope | 150 mm | 1 | as is where is | immediately | ||
112882 | Olympus | MX50-AF | Microscope,Bright & Dark,Reflected Light | 2 | as is where is | ||||
112883 | Olympus | MX50-CF | Microscope,BF/DF/DIC,Hg Reflected Lt | 1 | as is where is | ||||
112884 | Olympus | MX50A-F | Microscope,BF/DF/DIC,Reflected Light | 1 | as is where is | ||||
112885 | Olympus | MX50T-F | Microscope, Brightfield | 1 | as is where is | ||||
112886 | Olympus | MX50T-F | Microscope,Bright & Dark,Reflected Light | 1 | as is where is | ||||
112887 | Olympus | STM6-F00-3 | Microscope, Brightfield Reflected Light | 1 | as is where is | ||||
80247 | Olympus Optical | DBAP-FA-Z GA | Servo Driver | 1 | as is where is | immediately | |||
106896 | Omniguard | 860UV-IR | UV-IR Fire detector, w/ mount | Spares | 2 | as is where is | immediately | ||
13195 | OMRON | r88d-ua02ha | servo driver | 01.12.2003 | 1 | ||||
84386 | OMRON | E3C-DM2R 2 M | PHOTOELECTRIC SWITCH | 2 m | 3 | as is where is | immediately | ||
84395 | OMRON | E3C-C | PHOTOELECTRIC SWITCH | 1 | as is where is | immediately | |||
84396 | OMRON | E5CJ | TEMPERATURE CONTROLLER | MULTI RANGE | 1 | as is where is | immediately | ||
84397 | OMRON | E3S-X3CE4 | TEMPERATURE CONTROLLER | 2 | as is where is | immediately | |||
83886 | OMRON | TL-W5WC2 | PROXIMITY SWITCH | 1 | as is where is | immediately | |||
84398 | OMRON | E3XR-CE4 | TEMPERATURE CONTROLLER | 2 | as is where is | immediately | |||
84399 | OMRON | E2E-C1C1 | PROXIMITY SWITCH | 1 | as is where is | immediately | |||
84400 | OMRON | E3S-XE1 | PHOTO ELECTRIC SWITCH | 1 | as is where is | immediately | |||
84401 | OMRON | E3C-JC4P | PHOTO ELECTRIC SWITCH | 1 | as is where is | immediately | |||
84402 | OMRON | E3X-A11 | PHOTO ELECTRIC SWITCH | 1 | as is where is | immediately | |||
84403 | OMRON | E3HT--DS3E2 | PHOTO ELECTRIC SENSOR | 1 | as is where is | immediately | |||
84404 | OMRON | E3C--JC4 | AMPLIFIER UNIT | 1 | as is where is | immediately | |||
84405 | OMRON | EE-SPW321 | PHOTO MICROSENSOR | 1 | as is where is | immediately | |||
84406 | OMRON | E32-TC200A | PHOTO ELECTRIC SWITCH | 1 | as is where is | immediately | |||
108858 | ON SITE GAS SYSTEMS | N-20 | N2 Generator with 100 Gallon Storage Tank | FACILITIES | 1 | inquire | |||
112888 | Opti Temp Inc. | OTC-.5A | Chiller | Chiller | 1 | as is where is | |||
112276 | OPTO SYSTEM | WDS2200 | LED Chip Sorter | 50 mm-150 mm | 01.06.2007 | 1 | as is where is | ||
112277 | OPTO SYSTEM | WMSS2000 | LED Chip Probing and Sorter | 50 mm-150 mm | 01.06.2008 | 1 | as is where is | ||
13066 | ORIENTAL MOTOR | SEE COMMENTS | GEAR HEADS | 7 | as is where is | immediately | |||
15900 | ORIENTAL MOTOR | 21K6GK-A2 | INDUCTION MOTOR | 2 | as is where is | immediately | |||
83805 | ORIENTAL MOTOR | 21K6GN-A | TW9 50602 | 1 | as is where is | immediately | |||
83806 | ORIENTAL MOTOR | 51K40GN-AT | 1 | as is where is | immediately | ||||
83807 | ORIENTAL MOTOR | PB204-101 | 2 | as is where is | immediately | ||||
83808 | ORIENTAL MOTOR | 5rk40gk-a2 | MOTOR ELECTRIC | 1 | as is where is | immediately | |||
83809 | ORIENTAL MOTOR | 2IK6GK-A | MOTOR ELECTRIC | 01.12.1993 | 1 | as is where is | immediately | ||
83811 | ORIENTAL MOTOR | XU9 | MOTOR ELECTRIC FO FR CARRIER MOTOR | 1 | as is where is | immediately | |||
83823 | ORIENTAL MOTOR | 4GK15K | MOTOR ELECTRIC | 1 | as is where is | immediately | |||
83825 | ORIENTAL MOTOR | MBM425-411 | SPEED CONTROL MOTOR | 1 | as is where is | immediately | |||
83834 | ORIENTAL MOTOR | PH596-A | STEPPING MOTOR | SPARES | 1 | as is where is | immediately | ||
13206 | ORIENTAL MOTOR | 4LF45N-2 | LINEAR HEAD | SPARES | 1 | as is where is | immediately | ||
83875 | ORIENTAL MOTOR | UPH564-A | 5 PHASE STEPPING MOTOR | SPARES | 1 | as is where is | immediately | ||
83629 | ORIENTAL MOTOR | 4RK25RGK-AM | REVERSIBLE MOTOR | SPARES | 1 | as is where is | immediately | ||
83893 | ORIENTAL MOTOR | 4GN30K | GEAR HEAD | 1 | as is where is | immediately | |||
69817 | Oriental Motor | VEXTA PK564-NAC | Brand New 5-Phase Stepping Motor, 0.72 degree/step, with driver unit | Spares | 01.06.1996 | 1 | as is where is | immediately | |
13014 | Oriental Motor | 5RK40RGK-AM | REVERSIBLE MOTOR, 40W 100V 50/60 HZ | Spares | 01.06.2000 | 1 | as is where is | ||
112889 | Osaka Vacuum | TC1100 | Turbo Pump Controller | Pump | 1 | as is where is | |||
112890 | Osaka Vacuum | TG 56CA | Turbomolecular Pump | Pump | 1 | as is where is | |||
112891 | Osaka Vacuum | TG2003MCA | Turbomolecular Pump | Pump | 1 | as is where is | |||
112892 | Osaka Vacuum | TH1502 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112893 | Osaka Vacuum | TH542 | Turbomolecular Pump | Pump | 1 | as is where is | |||
110358 | Oxford Instruments | OPT AMU | Match | SPARES | 1 | inquire | |||
112600 | Oxford Instruments | OpAL | Laboratory ALD tool used for Al2O3 deposition | up to 200 mm | 01.06.2016 | 1 | as is where is | immediately | |
112895 | Oxford Instruments | Plasmalab System 100 | pecvd teos with load lock | 1 | as is where is | ||||
111372 | Oxford Plasmalab | ICP 380 | 1 | as is where is | immediately | ||||
111373 | Oxford Plasmalab | 100 PECVD | Etcher Asher | 1 | as is where is | immediately | |||
103819 | Palomar | 3500-III | Die Bonder | Assembly | 1 | as is where is | immediately | ||
111382 | PERKIN ELMER | 2400 | Sputtering system | 1 | as is where is | immediately | |||
113982 | Perkin Elmer | 4410 | Sputtering System | 1 | inquire | ||||
111357 | PERKIN ELMER | 2400-8SA | Sputter system | 1 | as is all rebuilt | ||||
108862 | PERKIN-ELMER | 2400 | Sputtering System | 1 | inquire | ||||
112896 | Pfeiffer Vacuum | ATH 2300 M | Turbomolecular Pump | Pump | 1 | as is where is | |||
112897 | Pfeiffer Vacuum | ATH 2804 M | Turbomolecular Pump | Pump | 1 | as is where is | |||
112898 | Pfeiffer Vacuum | MAGPOWER | Turbo Pump Controller | Pump | 1 | as is where is | |||
112899 | Pfeiffer Vacuum | UNIDRY 050-4 | Mechanical Pump | Pump | 1 | as is where is | |||
83587 | Pittman | GM9213E081 | GEAR motor 19.1 vdc 65.5:1 RATIO | Spares | 1 | as is where is | immediately | ||
91330 | Plasma Therm | Wafer/ Batch 740 | DUAL PLASMA ETCH AND RIE | 4" | 1 | as is where is | |||
91331 | Plasma Therm | Wafer/ Batch 740 | DUAL PLASMA ETCH AND RIE | 4" | 1 | as is where is | |||
112901 | Plasma-finish | V15G | Box Plasma Etcher | 1 | as is where is | ||||
112902 | Plasma-Therm | 790 | RIE PECVD | 1 | as is where is | ||||
112903 | Plasma-Therm | 790 ICP | Etcher | 1 | as is where is | ||||
112904 | Plasma-Therm | BT | Reactive Ion Etch System | 150 mm | 1 | as is where is | |||
112905 | Plasma-Therm | Unaxis 790 | DRIE PECVD | 1 | as is where is | ||||
112906 | Plasma-Therm | VII 734 | Reactive Ion Etch System | 200 mm | 1 | as is where is | |||
112907 | Plasma-Therm | VII 734MF | Reactive Ion Etch System | 200 mm | 1 | as is where is | |||
112908 | Plasma-Therm | Wafer Batch 740/740 | Reactive Ion Etch System | 200 mm | 1 | as is where is | |||
112909 | Plasma-Therm | 73/74 | PECVD/Plasma Etch/Reactive Ion Etch | 200 mm | 1 | as is where is | |||
108863 | PLASMA-THERM | 790 | Reactive Ion Etcher, Refurbished - Call for Details | 1 | inquire | ||||
108864 | PLASMA-THERM | SLR770 | Inductively Coupled Etcher with Load-Lock, Refurbished - Call for Details | 1 | as is all rebuilt | immediately | |||
109519 | PLASMART | PF05100-3B36S | RF GENERATOR | Spares | 6 | as is where is | |||
109520 | PLASMART | PF05100-3B36S | RF GENERATOR | Spares | 1 | as is where is | |||
109521 | PLASMART | PFDUAL-6B36A | RF GENERATOR | Spares | 1 | as is where is | |||
106759 | Plasmatherm | SLR 770 | Dual Chamber R.I.E. | 200 mm | 01.06.1994 | 1 | as is where is | immediately | |
112910 | PLASMATHERM | VLR 700 | Single Chamber PECVD | 1 | as is where is | ||||
109586 | Plasmatherm | SLR 720 | RIE Etcher | 150 mm | 1 | inquire | |||
110613 | PlasmaTherm | SLR 740 | Dual Chamber RIE / Plasma etch | 200 mm | 01.04.1999 | 1 | as is where is | immediately | |
103451 | Plasmatherm | SLR-770 ICP | Silicon Deep Etching | 100 mm | 01.05.1998 | 1 | as is where is | immediately | |
113983 | Plasmatherm | Odyssey | Asher | 1 | inquire | ||||
106953 | PLASMATHERM | LAPECVD | Large Area PECVD system, used for SiO and SiN process depositions | 150 mm | 01.06.2015 | 4 | as is where is | immediately | |
114158 | PlasmaTherm | 790 Etch | Multi-Process Etch | 200 mm | 1 | as is where is | |||
111602 | PlasmaTherm | SLR 770 ICP | Deep Silicon Etcher | 4 inch | 01.06.1998 | 1 | as is where is | immediately | |
111603 | PlasmaTherm | Versaline DSE-III | Deep Silicon Etcher | 4,6 and 8 inch | 01.06.2012 | 1 | as is where is | immediately | |
110683 | Plasmos | SD2000 | Thin Film Thickness Measurement System / Ellipsometer | 200 mm | 01.06.1998 | 1 | as is where is | immediately | |
83933 | PM500 09 P | MASK | RETICLE | 1 | as is where is | immediately | |||
31246 | PMS | Liquitrack 776200 | Non volatile residual Monitor for water-quality checking | facilities | 01.10.1999 | 1 | as is where is | immediately | |
83615 | PMS | MICRO LPC-210 | MICROLASER PARTICLE COUNTER | MALVERN WR 13 LN | 31.01.1989 | 1 | as is where is | immediately | |
114159 | R2D Automation | COMET 3 station | Wafer Sorter | 150 mm | 1 | as is where is | |||
114160 | R2D Automation | COMET 3 station | Wafer Sorter | 150 mm | 1 | as is where is | |||
114161 | R2D Automation | COMET 3 station | Wafer Sorter | 150 mm | 1 | as is where is | |||
114162 | R2D Automation | COMET 3 station | Wafer Sorter | 150 mm | 1 | as is where is | |||
110734 | Ramgraber | SST | Solvent wet with heater and spin dryer | Up to 300 mm | 01.06.2016 | 1 | as is where is | immediately | |
111476 | Research Instruments | EUV-MBR | EUV Mask and Blank reflectometer | reticle | 01.06.2016 | 1 | as is where is | immediately | |
81835 | Rosemont Analytical | 1055-01-11-22 | PH Monitor Solucomp II | 1 | as is where is | immediately | |||
108866 | ROYCE INSTRUMENTS | ASTM-2kg | Die Shear Load Cell | SPARES | 1 | inquire | |||
108867 | ROYCE INSTRUMENTS | ASTM-50g | Wire Pull Load Cell | SPARES | 1 | inquire | |||
108868 | ROYCE INSTRUMENTS | ASTM-200g | Die Shear Load Cell | SPARES | 1 | inquire | |||
108869 | ROYCE INSTRUMENTS | ASTM-200g | Wire Pull Load Cell | SPARES | 1 | inquire | |||
108870 | ROYCE INSTRUMENTS | ASTM-200g | Wire Pull Load Cell | SPARES | 1 | inquire | |||
108871 | ROYCE INSTRUMENTS | STM-20kg | Die Shear Load Cell | SPARES | 1 | inquire | |||
108872 | ROYCE INSTRUMENTS | System 550 100K | Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders | SPARES | 1 | inquire | |||
108873 | ROYCE INSTRUMENTS | Die Shear Workholder | SPARES | 1 | inquire | ||||
110465 | Sairem | MU Controller | Miscellaneous | SPARES | 1 | inquire | |||
98495 | SAMCO | PD-3800 | LED – pecvd system | 50mm to 200mm | 01.10.1997 | 1 | as is where is | immediately | |
98496 | SAMCO | RIE-212 IPC | LED Reactive Ion Etcher | 50/100mm | 1 | as is where is | immediately | ||
106736 | SAMCO | RIE-300NR | Reactive Ion Etching System | 300 mm | 01.06.2006 | 1 | as is where is | ||
106737 | SAMCO | RIE-300NR | Reactive Ion Etching System | 300 mm | 01.06.2006 | 1 | as is where is | ||
84373 | SAMSUNG | MR16R0828AN1-CKB | 128 MB/8 RAM | 2 | as is where is | immediately | |||
113906 | SDI Semiconductor Diagnostics | 2500 | Metrology – Carrier Lifetime Measurement | 150 mm | 01.06.1996 | 1 | as is where is | ||
107012 | SEC Semiconductor Equipment Corp. | 3100 | Manual wafer taper | 150 mm | 01.07.2002 | 2 | as is where is | immediately | |
109560 | SELA/Camtek | EM3i | Saw for TEM sample preparation | ASSEMBLY | 01.05.2011 | 1 | as is where is | ||
77185 | Semco | C60 | Cleanstar PFA Valve C608075305A12HPW C60 HPW | 1 | as is where is | immediately | |||
110466 | Semco | HVS 1500 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110467 | Semco | HVS 2K Controller | Miscellaneous | SPARES | 1 | inquire | |||
77189 | Semco | 156 MM WAFER BOAT | Solar Wafer (156mm) Quartz Rack/Holder for a Furnace | 156mm | 01.06.2010 | 6 | as is where is | immediately | |
77191 | Semco | Wafer Boat | Solar Wafer (156mm) Quartz wafer boat | 156mm | 9 | as is where is | immediately | ||
77198 | Semco | wafer boat | Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace | 156mm | 3 | as is where is | immediately | ||
77208 | Semco | Wafer Boat | Solar Wafer Quartz wafer boat, 5" | 156mm | 3 | as is where is | immediately | ||
112920 | Semi-Tool | WST 306 MG | Spray Solvent Tool | 1 | as is where is | ||||
112921 | Semi-Tool | WST 406 MG | Spray Solvent Tool | 2 | as is where is | ||||
108588 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately | |
108589 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately | |
108590 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately | |
108591 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately | |
108592 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER | 300mm | 01.06.2011 | 1 | as is where is | immediately | |
108593 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER | 300mm | 01.06.2011 | 1 | as is where is | immediately | |
112287 | Semics | OPUS3 | Wafer Probing | 200 mm, 300 mm | 01.06.2012 | 1 | as is where is | ||
113336 | SEMICS | OPUS 2 | Prober with Ambient and hot (Up to 150 C) | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
113337 | SEMICS | OPUS 3 | Prober with Ambient and hot (Up to 150 C) | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
108035 | SEMILAB | PMR_3000 | Dose Monitoring | 300 mm | 1 | as is where is | |||
112288 | Semilab | FAaST 230 | Metrology - Carrier Lifetime Measurement | 200 mm | 01.06.2005 | 1 | as is where is | immediately | |
112289 | Semilab | FAaST330A | Metrology - Carrier Lifetime Measurement | 300 mm | 01.06.2004 | 1 | as is where is | immediately | |
112290 | Semilab | WT2500PL | Metrology ANALYSIS | 300 mm | 01.06.2015 | 1 | as is where is | ||
54208 | SemiNet Automation | Infinity SACS 251216-120-CE | Semi-Automatic Carousel Boxed Wafer Stocker | 200 mm | 01.10.2007 | 1 | as is where is | immediately | |
109585 | Semitool | Symphony 2300 | Spray Acid Tool (1-chamber, 300mm) | 300 mm | 1 | inquire | |||
109591 | Semitool | Sirius | HydrOzone wafer cleaning system | 1 | inquire | ||||
112922 | Semitool | 4600L-5-2-E-VT | Single Stack SRD | Up to 380MM | 1 | as is where is | |||
112923 | Semitool | ST 440S | Single Stack SRD | 100 mm | 1 | as is where is | |||
112924 | Semitool | ST 460S | Single Stack SRD | 5" | 1 | as is where is | |||
112925 | Semitool | ST-240D | Double Stack SRD | 75 mm | 1 | as is where is | |||
112926 | Semitool | ST-240D | Double Stack SRD | 75mm | 1 | as is where is | |||
112927 | Semitool | ST-260D | Benchtop SRD | 1 | as is where is | ||||
112928 | Semitool | ST-460 SRD | Single Stack SRD | Up to 125mm | 1 | as is where is | |||
112929 | Semitool | ST-840 SRD | Double Stack SRD | Up to 100mm | 3 | as is where is | |||
112930 | Semitool | ST-860 SRD | Double Stack SRD | Up to 125mm | 1 | as is where is | |||
112931 | Semitool | ST-860 SRD | Double Stack SRD | Up to 125mm | 1 | as is where is | |||
113984 | Semitool | SST421 | Spray Solvent Tool | 200 mm | 1 | inquire | |||
113985 | Semitool | SST421 | Spray Solvent Tool | 200 mm | 1 | inquire | |||
113986 | Semitool | SST421 Scepter | Spray Solvent Tool | 200 mm | 1 | inquire | |||
108874 | SEMITOOL | WST 406MG | Wafer Spray Solvent Tool | 1 | inquire | ||||
84342 | Semitool | ST-240 | Spin Rinse Dryer for up to 6 inch wafers | 3 inch | 1 | as is where is | immediately | ||
114046 | Semitool | Raider ECD | ECD (Electro Chemical Deposition) | 300 mm | 1 | as is where is | |||
84351 | Semitool | ST-240 | Spin Rinse Dryer for up to 6 inch wafers | 5 inch | 1 | as is where is | immediately | ||
114047 | Semitool | Raider ECD | ECD (Electro Chemical Deposition) | 300 mm | 1 | as is where is | |||
114048 | Semitool | Raider ECD | ECD (Electro Chemical Deposition) | 300 mm | 1 | as is where is | |||
84364 | Semitool | PA-72-30M | SRD Rotor for 3 inch wafers | spares | 1 | as is where is | immediately | ||
84365 | Semitool | A72-20M | SRD Rotor for 2 inch wafers | Spares | 1 | as is where is | immediately | ||
18839 | SEMITOOL | 2080S(OAZP)CBE | Equipment manual | 1 | as is where is | ||||
113305 | Semitool | SAT 200 | Wet Etching | 200 mm | 01.03.2011 | 1 | as is where is | immediately | |
112291 | Semitool | Raider ECD | ECD Electroplating | 300 mm | 01.06.2010 | 1 | as is where is | ||
112292 | Semitool | Raider ECD312 | ECD Electroplating | 300 mm | 01.06.2011 | 1 | as is where is | ||
108202 | SEMITOOL | EO212PM | Cu PLTNG | 01.06.1998 | 1 | as is where is | |||
108204 | SEMITOOL | WSST | Water Soluble Strip Tool | 01.06.1996 | 1 | as is where is | |||
108205 | SEMITOOL | WST305M | Spin Dry | 1 | as is where is | ||||
108974 | Semitool | PSC 101 | Double Stack SRD (Set up for solar wafer use) | 100 mm, M0, M2 | 01.02.1999 | 1 | as is where is | immediately | |
106946 | Semitool | ST-921R-AA | Spin Rinse Dryer, will take up to 6 inch wafers | 4 inch | 2 | as is where is | immediately | ||
113602 | Semitool | Solvent Spray Tool | Solvent Spray Tool | 150 mm | 01.06.1994 | 1 | as is where is | ||
113603 | Semitool | Solvent Spray Tool | Solvent Spray Tool | 150 mm | 01.06.1998 | 1 | as is where is | ||
113604 | Semitool | Solvent Spray Tool | Solvent Spray Tool | 150 mm | 01.06.2011 | 1 | as is where is | ||
113605 | Semitool | Solvent Spray Tool | Solvent Spray Tool | 150 mm | 01.06.2012 | 1 | as is where is | ||
113606 | Semitool | Semitool Rinser/Dryer | SRD | 150 mm | 01.06.1993 | 1 | as is where is | ||
113607 | Semitool | Semitool Rinser/Dryer | SRD | 150 mm | 01.06.1993 | 1 | as is where is | ||
113608 | Semitool | Semitool Spray tool | Solvent Spray Tool | 150 mm | 1 | as is where is | |||
113609 | Semitool | Semitool Sirius | Solvent Spray Tool | 150 mm | 01.06.2002 | 1 | as is where is | ||
113610 | Semitool | Semitool Sirius | Solvent Spray Tool | 150 mm | 01.06.2005 | 1 | as is where is | ||
109285 | Semitool | Equinox Cu | Cu Plating tool with 4 plating chambers and 5 preclean chambers | 200 mm | 01.06.1999 | 1 | as is where is | immediately | |
111877 | Semitool Inc. | SST-C-421-280 | Batch Wafer Processing | 200mm | 1 | as is where is | |||
108715 | Semix | Tazmo | SOG track | 150 mm | 1 | inquire | immediately | ||
77161 | Shimaden | SR91-8P-90-1N0 | Temperature Regulator | Spares | 1 | as is where is | immediately | ||
105873 | Shinmei Keiki | 0-1 kgf/cm2 | pressure gauge | spares | 2 | as is where is | immediately | ||
106741 | SIGMAMELTEC | SFG3000 | Photomask WET cleaning system | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
106533 | Sikama | Falcon 8500 | REFLOW OVEN | SMT | 01.06.2015 | 1 | as is where is | immediately | |
84225 | SMC | CY 4R08 | CY3B15-300 CYLINDER | Spares | 1 | as is where is | immediately | ||
84236 | SMC | ZPT25US-B5 | Suction cups | Spares | 1 | as is where is | immediately | ||
84256 | SMC | WO 36517 | RODLESS CYLINDER | 01.02.1994 | 1 | as is where is | immediately | ||
84259 | SMC | CMFN20-50 | AIR CYLINDER | 1 | as is where is | immediately | |||
84262 | SMC | CDGBN20-204 | AIR CYLINDER | 3 | as is where is | immediately | |||
84263 | SMC | CDM2BZ20-125 | AIR CYLINDER | 2 | as is where is | immediately | |||
84264 | SMC | CMFN20-50 | AIR CYLINDER | 1 | as is where is | immediately | |||
84267 | SMC | CDM2RA20-190 | AIR CYLINDER | 1 | as is where is | immediately | |||
84268 | SMC | CDG1FA20-222 | AIR CYLINDER | 1 | as is where is | immediately | |||
84269 | SMC | CDJ2F16 | AIR CYLINDER | 1 | as is where is | immediately | |||
111410 | SMC | INR-244-646 | Chiller | 1 | as is where is | immediately | |||
111437 | SMC | INR-498-001B | Chiller | 1 | as is where is | immediately | |||
83547 | SMC | IRS_056/09/GT | RODLESS PNEUMATIC CYLINDER *NEW* | 01.01.2008 | 1 | as is where is | immediately | ||
77152 | SMC | RL17858 1030567 | 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* | 01.01.2008 | 1 | as is where is | immediately | ||
77157 | SMC | ZX1101-K15LZB-D21L-X121 | Vacuum GENERATOR ZXF35 | Spares | 1 | as is where is | immediately | ||
77163 | SMC | CDRB2BWU20-270S | ACTUATOR, ROTARY, VANE TYPE | Spares | 1 | as is where is | immediately | ||
84079 | SMC | CDY1S15H | TESTED | 01.07.1994 | 2 | as is where is | |||
105839 | SMC | INR-498-050 | Single loop chiller | FACILITIES | 1 | as is where is | immediately | ||
111481 | SMC | XT494-001 | Chiller | 1 | as is where is | immediately | |||
111482 | SMC | INR-498-043 | Chiller | 1 | as is where is | immediately | |||
111483 | SMC | INR-498-016C-X007 | Chiller | 1 | as is where is | immediately | |||
111484 | SMC | INR-498-016C | Chiller | 1 | as is where is | immediately | |||
111485 | SMC | INR-498-016B | Chiller | 1 | as is where is | immediately | |||
111486 | SMC | INR-498-012D-X007 | Chiller | 1 | as is where is | immediately | |||
83839 | SMC | CDQSWB20-35DC | COMPACT CYLINDER | Spares | 3 | as is where is | immediately | ||
111487 | SMC | INR-498-016B | Chiller | 1 | as is where is | immediately | |||
83840 | SMC | ECQ2B32-10DC | ACTUATOR, 32MM CQ2 DOUBLE-ACTING | Spares | 3 | as is where is | immediately | ||
111488 | SMC | INR-498-012C-X012 | Chiller | 1 | as is where is | immediately | |||
111489 | SMC | INR-498-012C-X007 | Chiller | 1 | as is where is | immediately | |||
83842 | SMC | CQ2B25-25D | ACTUATOR CYLINDER | Spares | 5 | as is where is | immediately | ||
111490 | SMC | INR-498-012B | Chiller | 1 | as is where is | immediately | |||
83843 | SMC | CQ2B25-20DC | ACTUATOR, CQ2 COMPACT CYLINDER | Spares | 3 | as is where is | immediately | ||
83844 | SMC | ECDQ2B32-30D | CQ2 COMPACT CYLINDER | Spares | 2 | as is where is | immediately | ||
111492 | SMC | INR-498-001B | Chiller | 1 | as is where is | immediately | |||
83845 | SMC | ECDQ2B32-50D | COMPACT CYLINDER | Spares | 1 | as is where is | immediately | ||
111493 | SMC | INR-498-001A | Chiller | 1 | as is where is | immediately | |||
111494 | SMC | INR-497-100 | Chiller | 1 | as is where is | immediately | |||
111495 | SMC | INR-496-003D-X007 | Chiller | 1 | as is where is | immediately | |||
111496 | SMC | INR-496-003D | Chiller | 1 | as is where is | immediately | |||
111497 | SMC | INR-496-003C | Chiller | 1 | as is where is | immediately | |||
111498 | SMC | INR-496-001D | Chiller | 1 | as is where is | immediately | |||
111500 | SMC | INR-496-001C | Chiller | 1 | as is where is | immediately | |||
111501 | SMC | INR-341-59A | Chiller | 1 | as is where is | immediately | |||
111502 | SMC | INR-341-54D | Chiller | 1 | as is where is | immediately | |||
111503 | SMC | INR-341-54C | Chiller | 1 | as is where is | immediately | |||
111504 | SMC | HRB4007Z-X003 | Chiller | 1 | as is where is | immediately | |||
83867 | SMC | MXS16-30 AS | cyl, slide table, MXS/MXJ GUIDED CYLINDER | SPARES | 1 | as is where is | immediately | ||
83612 | SMC | CDRB2BWU20-270S | ACTUATOR, ROTARY, VANE TYPE | Spares | 1 | as is where is | immediately | ||
83868 | SMC | CDQ1B32-40D | CYL compact, CQ2 COMPACT CYLINDER | SPARES | 1 | as is where is | immediately | ||
83869 | SMC | CDQ1B40-20DM | CYLINDER compact, CQ2 COMPACT CYLINDER | SPARES | 1 | as is where is | immediately | ||
83872 | SMC | DF9N | VALVES | SPARES | 2 | as is where is | immediately | ||
83632 | SMC | MHF2-12D1R | SMC cylinder | 1 | as is where is | immediately | |||
111570 | SMC | INR-494-015 | Thermo Chiller | 1 | as is where is | immediately | |||
111572 | SMC | INR-496-003D-X007 | Thermo Chiller | 0 | as is where is | immediately | |||
111573 | SMC | INR-244-385B | Thermo-con Heat Exchanger | 1 | as is where is | immediately | |||
110806 | SMC | HRS050-WN-20 | Thermo Chiller | chiller | 01.11.2016 | 1 | |||
111574 | SMC | INR-244-323-38 | Thermo-con Chiller | 1 | as is where is | immediately | |||
111575 | SMC | INR-496-003D | Thermo Chiller | 1 | as is where is | immediately | |||
93407 | SMC | INR-341-59B | CHILLER | Chiller | 1 | as is where is | immediately | ||
93408 | SMC | INR-341-59A | INR-341-59A | 1 | as is where is | ||||
93410 | SMC | INR-499-201 | Chiller | Chiller | 1 | as is where is | immediately | ||
93411 | SMC | INR-499-203 | INR-499-203 | CHILLER | 1 | as is where is | |||
93414 | SMC | INR-341-59B1 | chiller | CHILLER | 1 | as is where is | |||
84214 | SMC | ULUSP-00005 | PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16 | Spares | 2 | as is where is | immediately | ||
109031 | SMT | Max QM3000 | Automatic Pick and Place Machine | SMT | 01.04.2022 | 1 | as is where is | immediately | |
110780 | SSM | 5130 Hg-CV | Hg-CV measurement system | up to 12 inch | 01.01.2004 | 1 | as is where is | immediately | |
108773 | SSM | 470i | CV Plotter | 1 | inquire | ||||
106742 | SSM | Fastgate 5200 | Resistivity / CV Measurement | 200 mm | 01.06.2008 | 1 | as is where is | immediately | |
95233 | ST Automation | MT 32 SX | Fully Automated Memory Test System for BIST and NAND Memories | TEST | 30.11.2005 | 1 | inquire | immediately | |
86279 | ST Automation | MT32SX | Flash Memory testing System | TEST | 30.06.2005 | 1 | as is where is | immediately | |
86280 | ST Automation | PT-M1 | Automated Test System | Test | 1 | as is where is | immediately | ||
80177 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80178 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80179 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80180 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
78133 | ST Automation | QT200 | Test System | test | 31.05.2007 | 1 | as is where is | immediately | |
80181 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80182 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80183 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80184 | ST Automation | QT EPR16 DD | Automated Flash Memory Tester System with monitor | TEST | 30.09.2007 | 1 | as is where is | immediately | |
78137 | ST Automation | QT200 | Tester System with monitor | test | 1 | as is where is | immediately | ||
78138 | ST Automation | R.S.V. | ST Memory Test System Electronic Automation | test | 31.03.2007 | 1 | as is where is | immediately | |
102494 | ST Automation | MT32SX | Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY | TEST | 30.09.2007 | 1 | as is where is | immediately | |
93822 | ST Automation | EPR88 | Automated Test System | TEST | 31.05.2005 | 1 | as is where is | immediately | |
99969 | ST Automation | QT200 | Automated Tester System with monitor | test | 31.05.2005 | 1 | as is where is | immediately | |
86670 | ST Automation | QT200 | Automated Test System | TEST | 31.05.2005 | 1 | as is where is | immediately | |
93865 | ST Automation | QT 200 epr 88 | Flash Memory Testing System | Test | 31.05.2005 | 1 | as is where is | immediately | |
101848 | ST Automation | MT32SX | Automated Flash Memory Testing System | test | 31.05.2007 | 1 | as is where is | immediately | |
71904 | ST Automation | test head | test head for Eprom U 1835 | 1 | as is where is | ||||
71908 | ST Automation | PTM1 | Flash Memory Tester | Test | 3 | as is where is | immediately | ||
71910 | ST Automation | MT32SX | Flash Memory Test System for 256 MB memory testing | TEST | 01.05.2008 | 1 | as is where is | immediately | |
112941 | Steag Hamatech | Custom | Mask / reticle cleaner | 6.5 inch square max. | 01.06.1993 | 1 | as is where is | immediately | |
112942 | Stokes Vacuum | Microvac 212 | Mechanical Pump | Pump | 3 | as is where is | |||
111889 | Sumitomo Eaton Nova (SEN) | SHX II | High Current Implanter | 300mm | 1 | as is where is | |||
108299 | Suss MicroTec | CB200 | High Pressure Wafer Bonding Chamber | 200 mm | 01.06.2012 | 1 | as is where is | immediately | |
106535 | SUSS Microtec | ACS200 | Automated Photoresist Coater | 200 mm | 1 | as is where is | immediately | ||
106536 | SUSS Microtec | ACS200 | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | ||
106537 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater | 200 mm | 1 | as is where is | immediately | ||
106538 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | ||
106539 | SUSS Microtec | ACS200 Plus | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | ||
106541 | SUSS Microtec | MA200 | MASK ALIGNER | 150 mm/200 mm | 01.06.1999 | 1 | as is where is | immediately | |
111449 | SUSS Microtec | MCS8 + SBS6 Gen 2 + DB12T + SD12 | Spin Coater + Wafer Bonder + Debonder _ Debonded wafer Cleaner | 200 mm | 01.09.2022 | 1 | as is where is | immediately | |
111467 | SUSS Microtec | ACS200 Classic | Classic | 29.01.2006 | 1 | as is where is | |||
110737 | Suss MicroTec | Falcon | Polyimide Photo-resist Developer Track, 2D | 150-200 mm | 01.06.1996 | 1 | as is where is | immediately | |
106913 | SUSS Microtec | MA150 (Spare Parts) | spares | 1 | as is where is | 2 weeks | |||
112298 | SUSS MicroTec | CB200M | Wafer Bonder | 200 mm | 01.06.2012 | 1 | as is where is | ||
112299 | SUSS MicroTec | CBC200 | EU Bonder | 200 mm | 01.06.2006 | 1 | as is where is | ||
112300 | SUSS MicroTec | CBC200 | Wafer Bonder | 200 mm | 01.06.2013 | 1 | as is where is | ||
112301 | SUSS MicroTec | Gamma | Photoresist coater and developer track | 200 mm | 01.06.2011 | 1 | as is where is | ||
112302 | SUSS MicroTec | Gamma | Photoresist coater and developer track | 200 mm | 01.06.2012 | 1 | as is where is | ||
112303 | SUSS MicroTec | Gamma | Photoresist coater and developer track | 200 mm | 01.06.2012 | 1 | as is where is | ||
110805 | SUSS Microtec | MA200CO | Mask Aligner with topside alignment | 01.07.2014 | 0 | as is where is | immediately | ||
106954 | Suss MicroTec (Karl Suss) | MA150 | Mask Aligner | 150 mm | 01.06.2004 | 1 | as is where is | immediately | |
106955 | Suss MicroTec (Karl Suss) | MA150 | Mask Aligner | 150 mm | 01.06.1998 | 1 | as is where is | immediately | |
111891 | Suss Microtec AG | ACS200 | Linear Wafer Tracks (Resist Coater/Developer) | 200mm | 1 | as is where is | |||
106670 | SUSS MICROTECH | RC 16 | Resist Spin Coater | N/A | 1 | as is where is | |||
106671 | SUSS MICROTECH | RC 16(RC5) | Resist Spin Coater | N/A | 1 | as is where is | |||
106672 | SUSS MICROTECH | RC 8-ACS 200 | Resist Spin Coater | 200 mm | 1 | as is where is | |||
72155 | SVG /ASM | 128197-001 | HEATER ELEMENT, HCGI | Spares | 31.01.2003 | 1 | as is where is | immediately | |
108979 | SVG Thermco | 606314-02 REV E | Galil Motion Controller CMU PCB, DMC 1330 | Spares | 1 | as is where is | immediately | ||
108980 | SVG Thermco | 606314-02 REV 1 | Galil Motion Controller CMU PCB, DMC 1330 | Spares | 1 | as is where is | immediately | ||
108982 | SVG Thermco | 606180-01 rev 04 | WTU MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately | |
108983 | SVG Thermco | 168160-001 REV 4 | PCB, ANALOG ATMOSPHERE APL | Spares | 01.06.1998 | 1 | as is where is | immediately | |
108984 | SVG Thermco | 606210-01 REV 4 | S4 CLPB MOTION CONTROL INTERFACE PCB | Spares | 01.08.2001 | 1 | immediately | ||
108985 | SVG Thermco | VMEXB12D-CS | VMEBus J1/J2 Common Substrate Extender Board | Spares | 01.06.2004 | 1 | as is where is | immediately | |
108986 | SVG Thermco | 165220-001 REV B | EXTENDER BOARD | Spares | 1 | as is where is | immediately | ||
106941 | SVG Thermco | 168150-002 REV 3 S13 | RELAY BOARD PCB | Spares | 1 | as is where is | immediately | ||
106942 | SVG Thermco | 606200-01 REV 3 S5 | WAF CRT MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately | |
106943 | SVG Thermco | 606210-01 REV 4 S4 | CLPB MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately | |
79888 | System General | T9600 | Universal Device Programmer | Electronics Test and Measurement | 01.05.2003 | 1 | as is where is | immediately | |
78136 | Sytrama | MTM 32 V01 | ST Test Head Manipulator QT 124 | 30.11.2005 | 1 | as is where is | immediately | ||
80089 | Sytrama | MTM 32 V01 | ST Test Head Manipulator QT 124 | 30.11.2005 | 1 | as is where is | immediately | ||
108877 | TAMARACK SCIENTIFIC | Mask Aligner, Contact Mask Exposure System | 1 | inquire | |||||
109015 | Tazmo/Semix | TR 6133UD | Photoresist Coater and Developer tRACK, SOG type | 100 mm to 150 mm | 2 | as is where is | immediately | ||
108878 | TECHNICAL MFG. CORP. | MICRO-g 62-111 | Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers | SPARES | 1 | inquire | |||
108879 | TECHNICAL MFG. CORP. | MICRO-g 63-463 | Vibration Isolation Table, 48" X 30" | SPARES | 1 | inquire | |||
108880 | TECHNICAL MFG. CORP. | MICRO-g 63-661 | Vibration Isolation Table, 47" X 36" | SPARES | 1 | inquire | |||
108881 | TELEMARK | 259 | Electron Beam Source, Mounted on DN200CF Flange with HV, Water & Instrumentation Feedthroughs | SPARES | 1 | inquire | |||
108882 | TELEMARK | TT-3 | Electron Beam Power Supply with TT-3/6 Control & XY Sweep | SPARES | 1 | inquire | |||
112944 | Temescal | BJD 1800 | E Beam Evaporator, 10CC Crucible | 1 | as is where is | ||||
112945 | Temescal | BJD-1800 | E Beam Evaporator, 7cc Crucible | 1 | as is where is | ||||
112946 | Temescal | VES-2550 | High Volume Evaporator | 1 | as is where is | ||||
108883 | TEMESCAL | BJD-1800 | E-Beam Evaporator with CV-14 Power Supply | 1 | inquire | ||||
108884 | TEMESCAL | FCE-2500 | E-Beam Evaporator with Simba 2 Power Supply | 1 | inquire | ||||
108885 | TEMESCAL | VES-2550 | E-Beam Evaporator with CV-14 Power Supply | 1 | inquire | ||||
83553 | TEMPTRONIC | TP22-2 | TEMPERATURE TEMP SET | TP22-2 | 01.12.1986 | 1 | as is where is | immediately | |
83829 | TEMPTRONIC | THERMO SPOT | THERMO SPOT HEATING HEAD | SPARES | 1 | as is where is | immediately | ||
83575 | TENCOR INSTRUMENTS | AC 100V | POWER SW | AC 100 V | 01.04.1996 | 1 | as is where is | ||
83576 | TENCOR INSTRUMENTS | AC 100-120 V | POWER SW | AC 100-120 V | 01.11.1995 | 1 | as is where is | immediately | |
80266 | TESCOM | 150 | REGULATORS PRESSURE | 3 | as is where is | immediately | |||
107956 | Thamway | A161-6566B | RF Generator | Spares | 1 | as is where is | immediately | ||
110549 | Thamway | A161-6566B | RF Generator | SPARES | 1 | as is where is | immediately | ||
113291 | THERMA-WAVE | OP 3290 | Opti-probe wafer measurement | 200 mm | 1 | as is where is | immediately | ||
113180 | THERMAL | GYE-12000 | Evaporator System | 200 mm | 1 | as is where is | |||
113677 | Thermco | THERMCO 5200 | BROOKS (AMAT) Sentinel, ver 2.4 - Furnace | 150 mm | 01.06.1995 | 1 | as is where is | ||
113678 | Thermco | THERMCO 6200 | BROOKS (AMAT) Sentinel, ver 2.4 - Furnace | 150 mm | 01.06.2006 | 1 | as is where is | ||
113679 | Thermco | THERMCO 6200 | BROOKS (AMAT) Sentinel, ver 2.4 - Furnace | 150 mm | 01.06.2000 | 1 | as is where is | ||
113680 | Thermco | THERMCO 6200 | BROOKS (AMAT) Sentinel, ver 2.4 - Furnace | 150 mm | 01.06.2000 | 1 | as is where is | ||
113681 | Thermco | THERMCO 8204 | BROOKS (AMAT) Sentinel, ver 2.4 - Furnace | 200 mm | 01.06.2011 | 1 | as is where is | ||
112949 | THERMCO | HORIZONTAL Diffusion Furnace, 2 BANK WITH 6 TUBES | 100 MM AND 150 MM | 01.06.1999 | 1 | as is where is | |||
113181 | THERMO FISHER | ECO 1000 | FTIR System | 200 mm | 01.06.2002 | 1 | as is where is | ||
106303 | Thermo Fisher | ECO1000-S | FTIR | up to 200 mm | 01.06.1997 | 1 | as is where is | immediately | |
114171 | Thermo Fisher | Theta 300 MKII | Wafer Characterization | 300 mm | 1 | as is where is | |||
108609 | THERMONICS | T-2500SEA | Precision Temperature Forcing System | 300 mm | 01.05.2011 | 1 | as is where is | immediately | |
108889 | THERMONICS | T-2427 | Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work | ASSEMBLY | 1 | inquire | |||
112950 | Thermotron | SM-3.5S | Temperature and humidity chamber | 1 | as is where is | ||||
83827 | TOKIMEC | VA12134A | DIRECTIONAL CONTROL VALVE | spares | 1 | as is where is | immediately | ||
83828 | TOKIMEC | 012-7 | DIRECTIONAL CONTROL VALVE | 2 | as is where is | immediately | |||
77088 | Tolomatic | 11240741 | Tolomatic cylinder, replacement for AMI tools | 1 | as is where is | ||||
97079 | Trumpf | TruMicro 7240 | Laser source | 30.11.2012 | 1 | inquire | |||
110584 | Trumpf | TruPlasma RF1003 | Generator | SPARES | 1 | inquire | |||
110585 | Trumpf | TruPlasma RF1003 | Generator | SPARES | 1 | inquire | |||
112955 | Vacuum Instr | ILD 4000 | Leak detector with Turbo Pump | Facilities | 1 | as is where is | |||
77210 | various MFCs | MFC LOT | Qualiflow, Brooks 5850 Cracked/broken MFCs for refurb. | spares | 01.01.2009 | 1 | as is where is | immediately | |
112010 | Veeco / Solid State Equipment Corporation (SSEC) | Waferstorm 3300 / M3303 / M3304 (SSEC 3303/4) | Single Wafer Processing | N/A | 1 | as is where is | |||
108611 | Vision Semicon | VSP-88A(H) | Oven | 200 mm | 01.05.2005 | 1 | as is where is | immediately | |
108612 | Vision Semicon | VSP-88H | Oven | 200 mm | 01.05.2005 | 1 | as is where is | immediately | |
108613 | Vision Semicon | VSP-88H | Oven | 200 mm | 01.05.2007 | 1 | as is where is | immediately | |
106756 | WAFER MASTERS | SAO-302LP | TSV Cu Anneal | 300 mm | 01.06.2000 | 1 | as is where is | immediately | |
93087 | Woollam | VUV-VASE (Gen II) | Ellipsometer | 300 MM | 1 | as is where is | immediately | ||
53033 | Yamatake honeywell | WLS302 | switch | spares | 1 | as is where is | immediately |