fabsurplus.com

List of 20 equipment available for sale at fabsurplus.com

The following are the items available for sale related to 20 at SDI fabsurplus.com. To inquire about the 20 equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of 20 items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
110708 Accretech PG200RM Fully Automatic Wafer Back Grinder 200 MM 01.06.2004 1 as is where is immediately
110709 Accretech UF200A Prober 200 MM 01.06.2001 1 as is where is immediately
110710 Accretech UF200A Prober 200 MM 01.12.2000 1 as is where is immediately
109290 AD-TEC AMV-2000-AMT RF GENERATOR Spares 4 as is where is
4247 ADE 020986-10 259.82 uM thickness standard Spares 1 as is where is immediately
4249 ADE 020986-14 360.01 uM thickness standard Spares 1 as is where is immediately
108616 ADIXEN ADS1202P Vacuum Pump PUMP 5 as is where is
108617 ADIXEN ADS1202H Vacuum Pump PUMP 26 as is where is
109316 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109317 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109318 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109339 Advanced Energy PINACLE-20K RF GENERATOR Spares 7 as is where is
109340 Advanced Energy PINACLE-20K RF GENERATOR Spares 10 as is where is
109341 Advanced Energy PINACLE-20K RF GENERATOR Spares 1 as is where is
109307 Advanced Energy MDX-20K RF GENERATOR Spares 1 as is where is
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) Spares 01.05.2005 1 as is where is immediately
80194 Advantest BGR-020773 ADVANTEST T5335P PC Board Spares 7 as is where is immediately
80195 Advantest BGR-020853 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 as is where is immediately
80197 Advantest BGR-020774 rev x2 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80198 Advantest BGR-020814 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80199 Advantest BGR-020771 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80200 Advantest BGR-020509 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80201 Advantest BGR-020772 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80204 Advantest BGR-020851 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80294 Advantest BGR-020900 ADVANTEST T5335P PC Board Spares 4 as is where is immediately
80301 Advantest BGR-020815 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
109824 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109825 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109826 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109827 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109828 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109829 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109830 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109831 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109832 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109837 AE (Advanced Energy) RF-20 Generator SPARES 1 inquire
109881 AE (Advanced Energy) Sparc-le 20 Power Supply SPARES 1 inquire
109630 AE (Advanced Energy) AM-20 Match SPARES 1 inquire
109889 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109890 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109891 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109674 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109675 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109676 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109715 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109716 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109717 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109718 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109729 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109730 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109731 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109732 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109750 AE (Advanced Energy) Ovation 2060 Generator SPARES 1 inquire
109757 AE (Advanced Energy) PDW 2200 Generator SPARES 1 inquire
109772 AE (Advanced Energy) PDX II PLASMA DRIVE 2000 Generator SPARES 1 inquire
109796 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109797 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109798 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109799 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109800 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109801 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109350 AIXCON Y2000 RF GENERATOR Spares 1 as is where is
109351 AIXCON Y2000 RF GENERATOR Spares 1 as is where is
108722 AKRION V2-SA.3200 Wet Process Station Including Tanks 150 mm 01.12.1996 1 as is where is immediately
108723 AKRION V2-HL.2000 Acid Wet Bench 150 mm 1 inquire immediately
109574 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 118 as is where is immediately
110754 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 5 as is where is immediately
83593 Allen Bradley Micro-Logix 1200 PLC Module 1 as is where is immediately
86305 AMAT 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly 1 as is where is immediately
109357 AMETEK SGA200X25E-1DAA RF GENERATOR Spares 15 as is where is
77188 AMI Presco USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc. 156mm 1 as is where is immediately
84241 Anaheim Automation TM4500 AA4520 Stepper controller/driver board Spares 1 as is where is immediately
100908 AP Systems KORONA-1200P METAL RTP 300 mm 31.05.2005 1 as is where is
110874 Applied Materials 0190-77200 SRD Servo Motor - CMP Cleaner Spares 5 inquire
110875 Applied Materials 1080-01207 Walking Beam Servo Motor x - CMP Cleaner Spares 5 inquire
110876 Applied Materials 1080-01207 Walking Beam Servo Motor y - CMP Cleaner Spares 5 inquire
110887 Applied Materials 0090-77203 Shuttle Wafer Presence Switch - CMP Cleaner Spares 5 inquire
110905 Applied Materials 3220-01136 Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner Spares 5 inquire
11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT 200 mm 01.06.1999 1 inquire immediately
109134 Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm 1 as is where is
109135 Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm 1 as is where is
110927 Applied Materials 0660-01820 Applied Material MEI Board - CMP controller Spares 5 inquire
110929 Applied Materials 0100-20003 DIO board - CMP controller Spares 5 inquire
106583 Applied Materials CENTURA 5200 DPS Poly Etcher 200 mm 01.06.1999 1 as is where is
110712 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1996 1 as is where is immediately
110713 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1999 1 as is where is immediately
91269 Applied Materials CENTURA 5200 MxP Poly 200 mm 1 as is where is
18843 APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main 1 as is where is
108701 Applied Materials Centura 5200 High K CVD Process Chamber CVD Process Chamber 200 mm 1 as is where is immediately
108705 Applied Materials Centura 5200 MxP Chamber MxP Etching Chamber 200 mm 1 inquire immediately
111055 Applied Materials 0200-01903 INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT Spares 5 inquire
111056 Applied Materials 0020-19258 PEDESTAL TITANIUM 300MM PCII - PC-XT Spares 5 inquire
111061 Applied Materials 0021-22064 COVER RING, TTN, 300MM PVD - DSTTN Spares 5 inquire
111062 Applied Materials 0021-22065 SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN Spares 5 inquire
111067 Applied Materials 0200-07492 DEPOSITION RING - Aluminum Spares 5 inquire
106207 Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E Spares 01.06.1999 1 as is where is immediately
106209 Applied Materials 0020-21089 SHIELD Spares 01.06.1999 1 as is where is immediately
106210 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1998 1 as is where is immediately
106211 Applied Materials 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR Spares 01.06.1999 1 as is where is immediately
106213 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1999 2 as is where is immediately
106214 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 5 as is where is immediately
110822 Applied Materials 0020-78177 Wet Robot blade gasket - CMP Polisher and Lower Mirra Spares 5 inquire
106215 Applied Materials 0020-20483 REV E HUB LAMP CORNER COVER Spares 01.06.1998 2 as is where is immediately
106216 Applied Materials 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER Spares 01.06.1999 1 as is where is immediately
109544 Applied Materials Centura 5200 AP DPS2 Advantedge Carina Mesa Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS 300 mm 01.05.2013 1 as is where is immediately
106217 Applied Materials 0020-20523 COVER Spares 01.06.1999 1 as is where is immediately
106219 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
106220 Applied Materials 0190-20015 REV D VALVE / MANIFOLD Spares 01.06.1998 1 as is where is immediately
110830 Applied Materials 0720-02672 Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra Spares 5 inquire
106223 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
106226 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 1 as is where is immediately
106227 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
106228 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
111354 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 1 as is where is immediately
111355 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 01.06.2003 1 as is where is immediately
71932 Applied Materials 0020-20919 REV C COVER CVD POST spares 31.05.1999 1 as is where is immediately
105854 Applied Materials ® 0230-20005 Endura Operations and Programming Training Course Student Workbook Spares 31.08.1993 1 as is where is immediately
109158 ASML YieldStar S-200B Overlay Measurement System 300mm 1 as is where is
109055 ASML Yieldstar S200B Wafer metrology system 300 mm 01.06.2011 1 as is where is immediately
108728 ASYMTEK Millenium 620 Glue Dispense Tool 1 as is where is
106803 Asyst Versaport 2200 with shuttle and back plate SMIF loader 200mm 6 as is where is immediately
101817 Asyst Versaport pod opener 2200 VPO SMIF loader 200 mm 15 as is where is immediately
109086 Axcelis NV-GSD-200E2 High Current Ion Implanter 150 mm 01.09.2004 1 as is where is immediately
108901 Axcelis Rapid Cure320FC Radiator UV anneal System 300 mm 01.05.2007 1 as is where is immediately
108905 Axcelis RapidCure 320FC RPC 300 mm 01.12.2005 1 as is where is immediately
111283 AXCELIS 17181020 SCREWS SHIELDS, GPH, CG - AXCELIS HE3 Spares 5 inquire
111284 AXCELIS 17164520 ELECTRODE FILAMENT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111287 AXCELIS 17270220 DIS 72743 FLD RPL ONLY SHIELD (1) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111289 AXCELIS 17270120 SHIELD BOLT HEAD FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111300 AXCELIS 17224320 APERTURE FARADAY RESOLVER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111303 AXCELIS 17270200 BLOCK (2) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111322 AXCELIS 17270020 LINER (2) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111076 Axcelis 17308520 LINER BOTTOM W-ELS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
109370 AXICON Y2000 RF GENERATOR Spares 1 as is where is
109371 AXICON Y2000 RF GENERATOR Spares 1 as is where is
84213 Beckhoff KL9020 Ethernet interface Spares 2 as is where is immediately
84379 BELDEN 8219 IC20 BRAID R-58A/U 1 as is where is immediately
108735 Bio-Rad QS-1200 FT-IR Spectrometer 100-200 mm 01.05.2004 1 as is where is immediately
109073 Brooks ABM 205 Robot Spares 1 as is where is immediately
108392 Brooks MTX2000 Wafer Sorter 300 mm 1 as is where is
109161 Brooks MTX2000 Wafer Sorter 300mm 1 as is where is
109162 Brooks MTX2000 Wafer Sorter 300mm 1 as is where is
110719 Brooks MTX2000/2 Wafer Sorter 300 MM 01.06.2001 1 as is where is immediately
106642 BROOKS METARA 7200 Overlay 200 mm 01.06.1996 1 as is where is
106643 BROOKS SORTER MTX 2000 Wafer Handler 200 mm 1 as is where is
106644 BROOKS SORTER MTX 2000 Wafer Handler 200 mm 1 as is where is
108024 BROOKS MTX2000 WAFER SORTER 300 mm 01.06.2003 1 as is where is
106806 Brooks / PRI ABM 205 single arm atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106809 Brooks / PRI ATM 204/207 single arm atmospheric wafer handling robot 200/300mm 6 as is where is immediately
106814 Brooks / PRI PRE 200/200B/201/201B wafer pre-aligner 200mm 2 as is where is immediately
106105 Brooks / PRI ATM-207-2S-CE Single arm atmospheric wafer handling robot 200/300mm 01.03.2004 1 as is where is 2 weeks
98457 Brooks / PRI PRE 200/200B wafer pre-aligner 200 mm 3 as is where is immediately
102555 Brooks / PRI ESC-200/212/212B/222/218 PRI robot controller( single arm robot) 150/200/300 10 as is where is immediately
109557 BRUKER Quantax 200 Energy Dispersive X- Ray Spectrometer Laboratory 1 as is where is immediately
52338 Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52346 Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
108976 Cascade Summit 12000 Semi-automatic probe station with Shield Box, Temptronic thermal chuck -65C to 200 C 200 mm 01.06.2005 1 as is where is immediately
110700 Cascade Microtech 12000B-HS Prober 150 mm 1 as is where is immediately
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer Solar 01.05.2001 1 as is where is immediately
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 156 mm 01.05.2003 1 as is where is immediately
98721 Centrotherm E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping Solar 01.05.2008 1 as is where is immediately
98722 Centrotherm E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD Up to 300 mm 01.05.2008 1 as is where is immediately
83870 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 0 as is where is immediately
83871 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 1 as is where is immediately
99395 Convac CBA-M-2000-U Photoresist coater 01.01.1995 1 as is where is immediately
81829 Credence 202-2000-00 Credence Duo SX controller 01.06.1999 1 as is where is immediately
109396 DAIHEN DGP-120A2 RF GENERATOR Spares 2 as is where is
109403 DAIHEN MFG-20SA3 RF GENERATOR Spares 2 as is where is
109404 DAIHEN MFT-20SB RF GENERATOR Spares 1 as is where is
110041 Daihen MFM 20SA Match SPARES 1 inquire
110043 DAIHEN NMN-20A1 Match SPARES 1 inquire
110044 Daihen WGA-20A Generator SPARES 1 inquire
91136 Daitron CVP-320 Wafer Edge Grinder 300 mm 01.11.2008 1 as is where is immediately
110720 Datacon 2200 apm MultiChip Die Bonder 300 MM 01.06.2007 1 as is where is immediately
110595 Delvotec 6200 Gold Ball Wire Bonder Assembly 01.06.2007 1 inquire immediately
106022 DNS SK 2000 BVPE COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS 200 mm 01.09.2003 1 as is where is immediately
106023 DNS SK 2000 BVPE Photoresist coater and developer - 2 ct - 2 bct - 4 dev 200 mm 01.03.2004 1 as is where is immediately
108898 DNS AS2000 Oxide Wafer scrubbing system 200 mm 01.08.2000 1 as is where is immediately
110968 DNS GTC-2000E N2 HEAT PACK Spares 5 inquire
110981 DNS DP2-20Z fLOW METER Spares 5 inquire
110995 DNS AMD41-20-20-5FX-4 CKD VALVE Spares 5 inquire
93076 DNS SK-200W-AVPF Coater / Developer system (2C/2D) 200 mm 31.05.1997 1 as is where is
93077 DNS SK-200W-BVPE i-Line Photo Track Coater (3C3D) 200 mm 31.05.1997 1 as is where is
93378 DNS WS820L Wet Bench (Porous Silicon Process) 200 mm 01.08.2011 1 as is where is immediately
111362 DNS Dai Nippon Screen CW2000 Wet stations 1 as is where is immediately
70305 EBARA C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 SPARES 1 as is where is immediately
70306 Ebara FREX 200 (Spares) PRESSURE SENSITIVE VALVE FOR EBARA FREX 200 SPARES 2 as is where is immediately
107007 ECO Snow VersaClean 1200 Mask / Substrate cleaner 150 mm 01.10.2012 1 as is where is immediately
72128 Edwards 040020030 Cable, motor drive, 5M seiko Spares 1 as is where is immediately
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 3 as is where is immediately
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 1 as is where is immediately
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 1 as is where is immediately
99387 ELES ART 200 Debug Station for Reliability Test System RELIABILITY 1 as is where is immediately
79596 Elind KL 1200W Laboratory Power supply Electronics Test and Measurement 01.05.2000 8 as is where is immediately
108566 ENI DCG-200Z-OPTIMA RF Generator SPARES 01.12.2005 6 as is where is 2 weeks
110104 ENI DCG 200 Generator SPARES 1 inquire
110105 ENI DCG 200 Generator SPARES 1 inquire
109417 ENI DCG-200Z RF GENERATOR Spares 5 as is where is
109418 ENI DCG-200Z RF GENERATOR Spares 1 as is where is
109419 ENI DCG-200Z RF GENERATOR Spares 6 as is where is
109420 ENI DCG-200Z RF GENERATOR Spares 3 as is where is
109421 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 1 as is where is
109422 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 8 as is where is
109423 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 1 as is where is
109424 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 2 as is where is
109425 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 4 as is where is
109426 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 3 as is where is
109427 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 4 as is where is
109428 ENI DCG-200Z-S00 RF GENERATOR Spares 1 as is where is
110220 ENI OEM-2000 Generator SPARES 1 inquire
106175 ENI OEM-2000-01M1 RF GENERATOR SPARES 1 as is where is immediately
106467 EO Technic CSM-2000 CHIP SCALE LASER MARKER 150 mm/200 mm 01.06.2002 1 as is where is immediately
108641 EO TECHNICS CSM 2000 Chip scale laser wafer marker 150 mm/200 mm 01.06.2006 1 as is where is immediately
106469 EO Technics CSM2000 CHIP SCALE LASER MARKER 150 mm/200 mm 1 as is where is immediately
106470 EO TECHNICS CSM2000 CHIP SCALE LASER MARKER 200 mm 01.06.2005 1 as is where is immediately
84080 ERACOND Z3 M20 PD 50 MINIATUR AIR CYLINDER 1 as is where is immediately
82286 ESA ET5200LX2000 Touch Screen Computer spares 01.10.2007 1 as is where is immediately
108815 ESEC CT-2000 Automatic Flip Chip Die Attacher, 3ea Available ASSEMBLY 1 inquire
110778 ESEC 2008-XP Die Bonder Assembly 01.06.2004 1
110779 ESEC 2006HR Die Bonder, with Eutectic bonding capability Assembly 1 inquire immediately
83822 ESI 41207 IEEE 488 Interface FOR ESI 44 LASER TRIMMER SPARES 1 as is where is immediately
109588 EVG 620 Mask Aligner (TSA) 150 mm 1 inquire
109594 EVG 620 Mask Aligner (TSA) 150 mm 1 inquire
109611 EVG 520 Wafer Bonder 150 mm 1 inquire
101819 EVG 820 Mold Carrier Lamination System 200 mm 1 as is where is immediately
103140 EVG 520 Manual Wafer Load Substrate Bonder 150 mm 01.05.2003 1 as is where is 2 months
111383 FEI Quanta 200 3D Dual-Beam FIB SEM with Omniprobe, LMIS, BSD Laboratory 01.06.2005 1 as is where is immediately
110678 FEI Helios 1200+ Dual beam FIB SEM 300 mm 01.06.2011 1 as is where is immediately
110602 FEI Company XL820 Dualbeam FIB 16.06.2001 1 as is where is
106009 FEI Company FIB 200 Single Beam FIB Laboratory 01.06.2003 1 as is where is immediately
110704 FEI Company 820 Dual beam FIB SEM Laboratory 1 as is where is immediately
95574 FSI Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS Spares 1 as is where is immediately
18860 FSI 903943-200 Heated recirculations operations and maintenance manual 1 as is where is
18863 FSI 903893-200 Helios 52 di water meter operation and maintenance manual 2 as is where is
27829 FSI 904602-200 Manual 1
27876 FSI Excalibur 200mm Supplier Manual 2
108158 GSI CSP200 Wafer Level Die Marking System 200 mm 1 as is where is
110702 Heidelberg DWL-200 Laser Direct-Write Lithography System Up to 200 mm 01.06.2007 1 as is where is immediately
110596 Hesse & Knipps BJ 820 Magazine to magazine transport system for wirebonder Assembly 01.05.2013 1 as is where is immediately
109282 Hesse & Knipps BJ855 and BJ820 Wedge Bonders , magazine to Magazine Assembly 01.06.2019 26 as is where is immediately
110777 Highmax UV-200 Curing Oven 8" 1 as is where is immediately
106479 Highmax UV-200 Curing System 150 mm/200 mm 1 as is where is
91418 HITACHI UA-7200 Stripper/Asher 31.05.2001 1 as is where is
74794 Hitachi S5200 FE SEM with EDX Inspection 31.05.2005 1 as is where is immediately
106664 HITACHI IS3200SE WAFER PARTICLE INSPECTION 300 mm 01.06.2010 1 as is where is
109181 HMI eScan 320 E-beam Inspection 300mm 1 as is where is
109182 HMI eScan 320 E-beam Inspection 300mm 1 as is where is
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER RS232 1 as is where is immediately
101047 Jel SCR32000CS‐ 450‐PM Cleanroom Handling Robot Spares 31.05.2014 1 as is where is immediately
108907 JEOL JEM3200FS High Resolution TEM Laboratory 01.05.2006 1 as is where is immediately
109185 JEOL JEM-2010F TEM Laboratory 1 as is where is
91427 Jordan Valley JVX 6200 X-ray metrology (X-Ray Reflectivity) 300 mm 1 as is where is
100917 Jordan Valley JVX6200 X-Ray Inspection System 300 mm 31.05.2010 1 as is where is
99830 JORDAN VALLEY JVX6200I X-ray Metrology System 300 mm 28.02.2011 1 as is where is immediately
108415 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer 300 mm 1 as is where is
108828 K&S 8020 Automatic Ball Bonder ASSEMBLY 1 inquire
110609 Karl Suss MA200 Mask Aligner with CIC1000 lamp housing 200 mm 1 as is where is immediately
110619 KARL SUSS PA200HS Prober 200 mm 1 as is where is
109603 Karl Suss MA200 Compact Mask Aligner with TSA 200 mm 01.06.2010 1 inquire
109607 Karl Suss MA200 Compact Mask Aligner with TSA 200 mm 01.06.2010 1 inquire
108906 Karl SUSS MA200 Mask Aligner 150 mm, 200 mm 01.05.1995 1 as is where is
108908 Karl Suss MA200 Mask Aligner 200 mm 01.05.2000 1 as is where is
108909 Karl SUSS MA200 Mask Aligner 150 mm-200 MM 01.05.1992 1 as is where is immediately
106897 Karl Suss Micro Tec MA200 Mask Aligner with CIC1000 lamp housing -suitable for spare use 200 mm 1 as is where is immediately
106915 Karl Suss Micro Tec PA-200 Wafer Prober Station 01.06.2001 1 as is where is immediately
106916 Karl Suss Micro Tec PA200 Wafer Prober Station 01.06.2006 1 as is where is immediately
108836 KINETIC SYSTEMS Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36" SOLAR 1 inquire
108759 KINETIC SYSTEMS Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h) 1 as is where is
84000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 SPARES 31.01.1996 1 inquire immediately
34115 KLA 740-401-320 P-N 073-401-320 AIRLOCK 1
84301 KLA 710-658036-20 Alignment Processor board REV C3 Spares 1 as is where is immediately
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares 1 as is where is immediately
84303 KLA 710-658046-20 PRocessor Board REV E0 Spares 1 as is where is immediately
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares 2 as is where is immediately
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares 2 as is where is immediately
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares 1 as is where is immediately
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
84309 KLA 710-658086-20 PC Board, REV E0 Spares 1 as is where is immediately
87642 KLA 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400 Spares 1 as is where is immediately
110686 KLA eDR-5200 Defect Review Sem 300 mm 01.06.2009 2 as is where is immediately
106122 KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
27807 KLA 720-05887-000 MCP Detector Control Chassis spares 3 as is where is immediately
106189 KLA 740-401-320 AIRLOCK ASSEMBLY PCB Spares 01.09.1989 1 as is where is immediately
106191 KLA 900-01003-120 Assy. Software System 8xxx V3.1.2 Spares 01.06.2000 1 as is where is immediately
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS SPARES 01.02.1997 1 as is where is immediately
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 150 WATTS 01.02.1997 1 as is where is immediately
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 230 WATTS 01.02.1997 1 as is where is immediately
108165 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1991 1 as is where is
108166 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1990 1 as is where is
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems SPARES 1 as is where is immediately
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM Spares 1 as is where is immediately
32233 KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem SPARES 3 as is where is immediately
109191 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
109192 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
83929 KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM spares 31.05.1998 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 spares 01.06.1998 2 as is where is immediately
84224 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 1 as is where is immediately
83891 KOGANEI A200-4E1 AIR VALVE 2 as is where is immediately
84223 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 3 as is where is immediately
108570 Kokusai DJ-1206VN Vertical Furnace 300 mm 01.05.2007 1 as is where is immediately
110667 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.06.2005 1 inquire immediately
110706 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.09.2005 1 inquire immediately
106673 KOKUSAI DJ1206VN CVD FURNACE SiN 300 mm 01.06.2010 1 as is where is
106682 KOKUSAI DJ1206VN CVD FURNACE SiN 300 mm 01.06.2007 1 as is where is
108920 Komatsu G20K4-1 248 nm (KrF) excimer laser LASER 01.05.2001 2 as is where is immediately
106689 KORNIC KORONARTP1200+ RTP 300 mm 01.06.2010 1 as is where is
2873 Lam 4520 (spares) REMOTE CART FACILITIES 01.12.1994 1 as is where is immediately
109442 LAM 832-038915-203 RF GENERATOR Spares 1 as is where is
95408 Lam 4520 (spares) REMOTE CART FACILITIES 01.12.1994 1 as is where is immediately
110750 LAM / SEZ SP203 Single wafer processing 01.01.2011 1 as is all rebuilt 1 month
109234 LAM / SEZ SP203 Single Wafer Processing 200mm 1 as is where is
111001 Lam Research 857-120208-010 KIT GSKT THRM TP TO CHOKE - FLEX FX Spares 5 inquire
111002 Lam Research 857-120209-009 KIT GSKT THRM CHOKE TO HTR - FLEX FX Spares 5 inquire
111003 Lam Research 857-120209-305 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111004 Lam Research 857-120210-008 KIT GSKT THRM HTR TO BP - FLEX FX Spares 5 inquire immediately
111005 Lam Research 857-120210-302 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111027 Lam Research 716-082039-001 ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex Spares 5 inquire
18907 LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide 1 as is where is
18913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide 1 as is where is immediately
111364 LAM RESEARCH /ONTRACK DSS 200 Scrubber Series 1 1 as is where is immediately
15066 LAMBDA PHYSIK Novaline K2005 248 nm excimer laser for ASML /300 facilities 01.06.2002 1 as is where is immediately
106485 Leica LEICA INM20 Microscope inspection station 200 mm 01.06.1995 1 as is where is immediately
106486 Lintec RAD-2000F / 8 LINTEC UV CURE TOOL 150 mm/200 mm 01.07.2018 1 as is where is immediately
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber Reliability 01.05.1993 1 as is where is immediately
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber Reliability 01.05.1993 1 as is where is immediately
108284 Mechatronic mWS200/300t Wafer sorter with 4 load ports 300 mm 01.06.2012 1 as is where is immediately
106821 MECS UTC 820Z atmospheric wafer handling robot( Hitachi CD-SEM) 200 mm 1 as is where is immediately
98478 MECS UTX 1200 Atmospheric wafer handling robot(ASM eagle-10) 200 mm 1 as is where is immediately
108765 MEI VQ-20-ASA-H-SM Variable Throttle Valve 1 as is where is
108766 Micro Automation 2066 Mask and wafer cleaner 1 as is where is immediately
108185 Micro Vu Vertex 420 Precision coordinate measurement system assembly 1 as is where is
83554 MITSUBISHI FR-Z120-0.4K PLC, INVERTER 200 V CLASS FREQROL-Z120 1 as is where is immediately
111378 MKS FI20620 Astex Power supply 1 as is where is immediately
111405 MKS AX7685-20-C Astek Astron E Remote Plasma Cleaner 1 as is where is immediately
109462 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109463 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109464 MKS DCG-200Z RF GENERATOR Spares 2 as is where is
109465 MKS DCG-200Z RF GENERATOR Spares 6 as is where is
109466 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109467 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109468 MKS DCG-200Z RF GENERATOR Spares 2 as is where is
109470 MKS FI20160-1 RF GENERATOR Spares 1 as is where is
109471 MKS FI20162 RF GENERATOR Spares 2 as is where is
109472 MKS FI20162 RF GENERATOR Spares 1 as is where is
109473 MKS FI20162-1 RF GENERATOR Spares 6 as is where is
109474 MKS FI20608 RF GENERATOR Spares 1 as is where is
109475 MKS FI20609 RF GENERATOR Spares 1 as is where is
109476 MKS FI20612 RF GENERATOR Spares 3 as is where is
108028 MOSAID M4205 Memory Tester TEST 1 as is where is immediately
108768 MPM SP200 Screen Printer smt 1 as is where is immediately
79968 Muegge MW2009D-260ED Magnetron Head 2.45GHZ 01.12.2001 2 as is where is immediately
108084 Musashi FAM2200 Dispenser SMT 1 as is where is
108085 Musashi Short Master 200 Dispenser SMT 1 as is where is
91530 NANOMETRICS NANOMETRICS 9-7200-0195E Mask & Wafer Inspection 200 mm 1 as is where is
69868 National Instruments GPIB120A GPIB BUS EXPANDER / ISOLATOR TEST 31.05.1998 8 as is where is immediately
109072 Nikon NSR-S208D 248 nm (KrF) excimer exposure system 300 mm 01.06.2010 1 as is where is immediately
111396 Nikon R2205H Set of test reticles spares 4 as is where is immediately
108855 NIKON Optiphot 200 Wafer Inspection Microscope, B/Dfield & DIC Microscopy, Motorized Turret with 5ea Objectives, Prior ProScan Programmable Stage, 200mm X 200mm XY Travel, Color CCD Camera & More 1 inquire
108092 Nikon OPTIPHOT-200 Wafer inspection microscope 200 mm 3 as is where is
108096 Nikon R2005MF(VER3.09N), R2005HMF(VER5.09) test reticle spares 2 as is where is
108097 Nikon R2205HA, HB, HDIS, HMF test reticle spares 4 as is where is
110664 Nikon NSR-S204B 248 nm (KrF) excimer exposure system 200 mm 1 as is where is immediately
110690 Nikon NSR 2205 I11D i line Stepper 200 mm 01.06.1997 1 as is where is immediately
108643 Nikon Eclipse L200 Wafer Inspection Microscope 150 mm/200 mm 01.06.2006 1 as is where is immediately
110691 Nikon NSR 2205 I9C i line Stepper 200 mm 01.06.1994 1 as is where is immediately
110692 Nikon NSR S204B 248 nm DUV Scanner 200 mm 1 as is where is immediately
110729 Nikon NSR-S204B Photo-lithography 248 NM DUV Scanner with photo-track 300 MM 01.06.2002 1 as is where is immediately
18867 NIKON 204402 Operations manual NSR-1755I7A 1 as is where is immediately
110791 Nikon OptiStation 3200 Fully Automated Wafer Inspection Microscope 300 mm 1 as is where is immediately
109278 Nikon NSR2205 i14E i-line stepper 200 mm 01.05.2000 1 as is where is immediately
111339 Nikon NSR-SF200 KrF Excimer Scan-Field Stepper 150 mm 01.06.2003 1 as is where is immediately
91376 NIKON NSR-204B Scanner DUV (GIGAPHOTON G20K2 KRF laser) 200 mm 1 as is where is
111344 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2008 1 as is where is immediately
91377 NIKON NSR-204B 248 nm (KrF) excimer exposure system 200 mm 01.06.2000 1 as is where is immediately
108785 NIKON Optiphot 200 (For spares use) Wafer Inspection Microscope (Parts Tool Only) 200 mm 1 as is where is immediately
111345 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2011 2 as is where is immediately
108789 Nikon Optiphot 200 Wafer Inspection Microscope 200 mm 1 as is all rebuilt immediately
109051 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1999 1 as is where is immediately
109052 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1998 1 as is where is immediately
111232 NISSIN SOK30-2070 Crucible - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111233 NISSIN SOK30-2015 Gas Nozzle - 2300 2300 (Nissin) Spares 5 inquire
111236 NISSIN SOK30-2001 Arc Chamber Plate, Upper - 2300 2300 (Nissin) Spares 5 inquire
93833 Nissin Exceed 2000 Medium Current Ion Implanter 3, 4 and 5 inch 01.05.1997 1 as is where is immediately
111265 NISSIN SOK30-2035 Reflector 2 - 2300 2300 (Nissin) Spares 5 inquire
111266 NISSIN SOK30-2091 Electrode 2 - 2300 2300 (Nissin) Spares 5 inquire
111267 NISSIN SOK30-2061 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111268 NISSIN SOK30-2068 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111269 NISSIN SOK30-2097 Insulator - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111270 NISSIN SOK30-2096 Electrode Spacer B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111278 NISSIN SOKS03-2018 Arc Chamber Side Plate A - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111279 NISSIN SOKS03-2019 Arc Chamber Side Plate B - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111090 Nissin SOK30-2094 Electrode 5 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111091 Nissin SOK30-2093 Electrode 4 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111101 Nissin SOK40-2031 Insulator (A) - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111102 Nissin SOK30-2089 Electrode 1-A - 2300 2300 (Nissin) Spares 5 inquire
70301 NK RM 120 REAL TIME MONITOR SPARES 1 as is where is immediately
103439 Oerlikon Clusterline 200 PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) 200 mm 01.05.2005 1 as is where is immediately
111402 Olympus Highlight 2001 Cold light source with ring light Assembly 1 as is where is immediately
83835 Olympus WHK 10X/20L-H EYEPIECES FOR TRINOCULAR MICROSCOPE Spares 2 as is where is immediately
84406 OMRON E32-TC200A PHOTO ELECTRIC SWITCH 1 as is where is immediately
108858 ON SITE GAS SYSTEMS N-20 N2 Generator with 100 Gallon Storage Tank FACILITIES 1 inquire
103547 ONTO WV320 MACRO INSPECTING 300 mm 31.05.2004 1 as is where is
83807 ORIENTAL MOTOR PB204-101 2 as is where is immediately
108859 ORTHODYNE 20B Heavy Wire Bonder ASSEMBLY 1 inquire
83824 PANASONIC MF A 020LATNP AC SERVO MOTOR SPARES 1 as is where is immediately
108639 PFEIFFER ADS1202H Vacuum Pump PUMP 131 as is where is
109586 Plasmatherm SLR 720 RIE Etcher 150 mm 1 inquire
110683 Plasmos SD2000 Thin Film Thickness Measurement System / Ellipsometer 200 mm 01.06.1998 1 as is where is immediately
31246 PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking facilities 01.10.1999 1 as is where is immediately
83507 POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.01.1994 1 as is where is immediately
91356 PSC DES-220-456AVL ASHER 200 mm 1 as is where is immediately
108586 PSK DAS 2000 Asher 200 mm 01.05.2004 1 as is where is immediately
110413 RFPP AM-20 Match SPARES 1 inquire
110414 RFPP AM-20 Match SPARES 1 inquire
110427 RFPP ICP20-P Generator SPARES 1 inquire
110439 RFPP RF-20H Controller Miscellaneous SPARES 1 inquire
110440 RFPP RF-20M Generator SPARES 1 inquire
110441 RFPP RF20S Generator SPARES 1 inquire
110442 RFPP RF-20S Generator SPARES 1 inquire
110443 RFPP RF-20S Generator SPARES 1 inquire
110444 RFPP RF-20S Generator SPARES 1 inquire
110445 RFPP RF-20S Generator SPARES 1 inquire
110446 RFPP RF-20S Generator SPARES 1 inquire
109524 RFPP I22050001AMNPS RF GENERATOR Spares 1 as is where is
110464 RFVII RF-20 Generator SPARES 1 inquire
106826 Rorze RV201 Load Port 300mm 10 inquire immediately
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is immediately
108868 ROYCE INSTRUMENTS ASTM-200g Die Shear Load Cell SPARES 1 inquire
108869 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108870 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108871 ROYCE INSTRUMENTS STM-20kg Die Shear Load Cell SPARES 1 inquire
109570 Rudolph WaferView 320 Macro Wafer Defect Inspection System 300 mm 1 as is where is immediately
108587 RUDOLPH WV320 Wafer Inspection System 300mm 1 as is where is immediately
106831 Rudolph MP200XCU Cu Film thickness measurement 200 mm 1 as is where is immediately
110735 Rudolph MetaPulse 200 Metal film measurement system 150-200 mm 01.06.2006 1 as is where is immediately
110736 Rudolph MetaPulse 200X Cu Metal film measurement system 150-200 mm 01.06.2006 1 as is where is immediately
108195 RUDOLPH META PULSE 200 Film thickness measurement 200 mm 01.06.1999 1 as is where is
108196 RUDOLPH META PULSE 200 Film thickness measurement 200 mm 01.06.2005 1 as is where is
108197 RUDOLPH META PULSE 200X CU Film thickness measurement (Including HDD) 200 mm 01.06.2003 1 as is where is
108198 RUDOLPH META PULSE 200X CU Film thickness measurement (Including HDD) 200 mm 01.06.2001 1 as is where is
108199 RUDOLPH META PULSE II 200X CU Film thickness measurement 200 mm 01.06.2008 1 as is where is
108200 RUDOLPH MP200 Film thickness measurement 200 mm 01.06.2002 1 as is where is
91567 RUDOLPH META PULSE 200 Surface Film Metrology 200 mm 31.05.2002 1 as is where is immediately
106731 RUDOLPH WV320 Macro Inspection 300 mm 01.06.2006 1 as is where is
106732 RUDOLPH MP200 Film thickness measurement 200 mm 01.06.2003 1 as is where is
106733 RUDOLPH MP200 Film thickness measurement 200 mm 01.06.1999 1 as is where is
106734 RUDOLPH WV320 Macro Defect Inspection System 300 mm 1 as is where is
106735 RUDOLPH WV320 Macro Defect Inspection System 300 mm 1 as is where is
86303 Sankei Giken TCW-12000 CV Process Module Chiller Facilities 01.07.1996 1 as is where is immediately
106206 SANYO DENKI RBA2C-202 SERVO DRIVER MODULE Spares 2 as is where is immediately
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 200 mm 01.06.2006 13 as is where is immediately
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
106527 Semitool SAT2081D2PCCU OEM SAT Spray Acid Etch Tool Clamshell 1 as is where is immediately
84365 Semitool A72-20M SRD Rotor Spares 1 as is where is immediately
18839 SEMITOOL 2080S(OAZP)CBE Equipment manual 1 as is where is
109074 Sensofar PLu Neox Optical 3D Surface Profiler with motorized XY stage, 4 Lens (10X, 20X, 50X, 100X), anti vibration table Optical 3D Surface Profiler 01.06.2012 1 as is where is
110468 Seren AT20 Match SPARES 1 inquire
110482 Seren I2000 Generator SPARES 1 inquire
110500 Seren R2001 Generator SPARES 1 inquire
108103 Shibuya EH520 TestHandler TEST 1 as is where is
111406 Shin-Etsu MW 200 Wafer Transportation Box 12" Spares 200 MM 12 as is where is immediately
108960 Shin-Etsu MW 200 Wafer Transportation Box 8" Spares 200 MM 50 as is where is immediately
108595 SHINKAWA UTC-2000 SUPER Wire Bonder Assembly 01.05.2008 1 as is where is immediately
110744 Siconnex Produce 200 Acid Wet Cleaning System 200 mm 01.06.2008 1 as is where is
84259 SMC CMFN20-50 AIR CYLINDER 1 as is where is immediately
84262 SMC CDGBN20-204 AIR CYLINDER 3 as is where is immediately
84263 SMC CDM2BZ20-125 AIR CYLINDER 2 as is where is immediately
84264 SMC CMFN20-50 AIR CYLINDER 1 as is where is immediately
84267 SMC CDM2RA20-190 AIR CYLINDER 1 as is where is immediately
84268 SMC CDG1FA20-222 AIR CYLINDER 1 as is where is immediately
77163 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
83839 SMC CDQSWB20-35DC COMPACT CYLINDER Spares 3 as is where is immediately
83843 SMC CQ2B25-20DC ACTUATOR, CQ2 COMPACT CYLINDER Spares 3 as is where is immediately
83612 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
83869 SMC CDQ1B40-20DM CYLINDER compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
110806 SMC HRS050-WN-20 Thermo Chiller chiller 01.11.2016 1
93410 SMC INR-499-201 Chiller Chiller 1 as is where is immediately
93411 SMC INR-499-203 INR-499-203 CHILLER 1 as is where is
108876 SONIX UHR-2000 Scanning Acoustic Microscope ASSEMBLY 1 inquire
53268 Sorensen SS200-S0120 Power Supply Megatest Part number 113849 Spares 31.05.1994 3 as is where is immediately
83505 SORENSEN 220 VOLTS POWER SUPPLY 220 VOLTS 30.11.1994 3 as is where is immediately
102623 SPTS Omega 201 Plasma Dry etcher (For spares use) 200 mm 01.05.2010 1 as is where is immediately
106742 SSM Fastgate 5200 Resistivity / CV Measurement 200 mm 01.06.2008 1 as is where is immediately
80177 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80178 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80179 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80180 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
78133 ST Automation QT200 Test System test 31.05.2007 1 as is where is immediately
80181 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80182 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80183 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
78137 ST Automation QT200 Tester System with monitor test 1 as is where is immediately
99969 ST Automation QT200 Automated Tester System with monitor test 31.05.2005 1 as is where is immediately
86670 ST Automation QT200 Automated Test System TEST 31.05.2005 1 as is where is immediately
93865 ST Automation QT 200 epr 88 Flash Memory Testing System Test 31.05.2005 1 as is where is immediately
98497 SUSS ACS200 Photoresist coater and developer track, 1C, 1 D 200 mm 1 as is where is immediately
108299 Suss MicroTec CB200 High Pressure Wafer Bonding Chamber 200 mm 01.06.2012 1 as is where is immediately
106535 SUSS Microtec ACS200 Automated Photoresist Coater 200 mm 1 as is where is immediately
106536 SUSS Microtec ACS200 Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106537 SUSS Microtec ACS200 Classic Automated Photoresist Coater 200 mm 1 as is where is immediately
106538 SUSS Microtec ACS200 Classic Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106539 SUSS Microtec ACS200 Plus Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106541 SUSS Microtec MA200 MASK ALIGNER 150 mm/200 mm 01.06.1999 1 as is where is immediately
110805 SUSS Microtec MA200CO Mask Aligner with topside alignment 01.07.2014 0 as is where is immediately
106672 SUSS MICROTECH RC 8-ACS 200 Resist Spin Coater 200 mm 1 as is where is
108986 SVG Thermco 165220-001 REV B EXTENDER BOARD Spares 1 as is where is immediately
106942 SVG Thermco 606200-01 REV 3 S5 WAF CRT MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
83919 SWAGELOK 207/235/332 TUBE FITTINGS 4 as is where is immediately
108598 TEKTRONIX TDS720P Oscilloscope 1 as is where is immediately
108119 TEL Tokyo Electron LU-8209 Auto refill system for TEL ALPHA-8S TEOS spares 1 as is where is
18890 TEL TOKYO ELECTRON 201345 Operations manual 1 as is where is
18891 TEL TOKYO ELECTRON 201336 Operations manual 1 as is where is
18892 TEL TOKYO ELECTRON 201341 Operations manual 1 as is where is
18893 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
18894 TEL TOKYO ELECTRON 201339 Operations manual 1 as is where is
18895 TEL TOKYO ELECTRON 201335 Operations manual 1 as is where is
18896 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
18897 TEL TOKYO ELECTRON 201346 Operations manual 1 as is where is
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 300 mm 1 as is where is immediately
106751 TEL TOKYO ELECTRON TEL TRIAS 200 SPA CVD Cluster tool 300 mm 1 as is where is
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW AC 100-120 V 01.11.1995 1 as is where is immediately
80221 Teradyne 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 Spares 1 as is where is immediately
108214 THERMAL GYE-12000 Evaporator System 200 mm 1 as is where is
110563 Trazar AMU3-20 Match SPARES 1 inquire
106567 Ulvac FRE200E XeF2 Etching System 01.06.2018 1 as is where is immediately
108610 UNAXIS SLR-720 RIE 150 mm 1 as is where is immediately
109532 UNAXIS SLR-720 REACTIVE ION ETCHER 200mm 01.06.2012 1 as is where is
102642 Unaxis/Balzers ZH620 Alumina Fill Fill Sputter Deposition System 125 mm 01.05.2000 1 as is where is immediately
111111 Varian E17904820 Cover Plate, Center Liner Spares 5 inquire
111134 Varian 2420052 LAMP, INCANDESCENT, QUARTZ, TUNGSTEN, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111147 Varian E17292050R1 LINER END, CATHODE, MODIFIED, SHALLOW TEXTURE, W, HIGH PURITY - HCS Spares 5 inquire
111149 Varian E17292050 E17292050 LINER END CATHODE TUNGSTEN - VIISta HP/HC/HCS Spares 5 inquire
111150 Varian E17297920MOD4 FILAMENT, IHC SOURCE 0.05 MM W, MODIFIED, W, HIGH PURITY - HCS Spares 5 inquire
111151 Varian E17292070 LINER END REPELLER TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111152 Varian E17292050 LINER END CATHODE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111154 Varian E17297920R9 FILAMENT,ELS,SLC, W, HIGH PURITY - HCS Spares 5 inquire
111156 Varian E17284820 PLATE INSULATOR MOUNTING SINGLE, AL, HIGH PURITY - HC Spares 5 inquire
111157 Varian E17292040 LINER SIDE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111168 Varian E17347420R1 LINER SHELL, ANGLE CONTROL AL, HIGH PURITY - HCS Spares 5 inquire
111175 Varian E17220310 END CAP IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111176 Varian E17220340 PLATE SIDE IHC ARC CHAMBER V810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111180 Varian E17335820R2 SOURCE APERTURE, BACK PLATE, HC, MODIFIED EXTENDED W, W, HIGH PURITY - HCS Spares 5 inquire
111182 Varian W220916001-23R23 CATHODE SHAFTLESS,GE(II),F21,BULLET TYPE,GEF4,9MM,SIDE 1.2MM,W, HIGH PURITY - HC Spares 5 inquire
111184 Varian W220916001-23R24 WAA2301131 CATHODE SHAFTLESS, GE(II), F21, BULLET TYPE, GEF4, 7.6MM W, SIDE 0.68MM, HIGH PURITY - HCS Spares 5 inquire
111186 Varian WW2091030-23 PH3 SOURCE SPECIES CATHODE PM KITS, W, HIGH PURITY - XER/EHP Spares 5 inquire
111187 Varian E17335820R4 APERTURE, BACK PLATE (EXTENDED & NON-GUIDE) HC, W, HIGH PURITY - HC Spares 5 inquire
111189 Varian E17327320 PLATE SIDE IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111191 Varian E17292520 BAR, CATHODE, IHC 80, W, HIGH PURITY - HC Spares 5 inquire
111195 Varian E17302120 BAR FIL CLAMP SHORT V810, MO, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111196 Varian E17389870R20 APERTURE, INSERT, MATCHING TO NO TRENCH, IHC HCS W, HIGH PURITY - HCS Spares 5 inquire
111201 Varian E17220370 PLATE FRONT IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111241 VARIAN E17094620 BUSHING BURNTHRU SENSOR, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111242 VARIAN E17094620 E17094620 Bushing Burnthru Sensor, Ceramic - VIISta 80 HP/HC/HCS Spares 5 inquire
110738 VARIAN VIISION 200 Plus High Current Implanter 150 MM 01.06.1997 1 as is where is immediately
72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV Spares 2 as is where is immediately
72140 Varian E11001320 REV B TARGET, FOCUS, FARADAY Spares 31.10.1995 1 as is where is immediately
72143 Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN Spares 1 as is where is immediately
107011 Veeco Dektak 200 Si Contact Profilometer - for spares use (Not operational condition) 150 mm 01.06.2000 1 as is where is immediately
111404 VOTSCH VC-4020 Temperature and Humidity Chamber Reliability 1 as is where is immediately
77171 WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC Spares 01.11.2006 1 as is where is immediately
77164 Wasco SV129-31W3A/2065 Vacuum pressure switch 75 Torr Spares 1 as is where is immediately
82219 WAVECREST DTS-2070C (-52) Credence Duo Wavecrest digital time controller spares 31.05.1999 2 as is where is immediately
108783 WEST-BOND 7200A Manual Epoxy Die Bonder Assembly 1 as is all rebuilt immediately
94605 Xantrex XFR 40-70 NV8200P DC Power Supply Magnet SPARES 1 as is where is
106838 Yaskawa XU RCM9205 with ERCR-NS01-A003 controller atmospheric wafer robot for KLA 300 mm 1 as is where is immediately
106839 Yaskawa XU RCM9206 robot alone atmospheric wafer robot for KLA 300 mm 2 as is where is immediately
110600 ZEISS Stemi 2000 Stereozoom Microscope Assembly 1 inquire immediately
83865 Zeiss 477473-0207 Cover plate 5 as is where is immediately
83866 Zeiss 475690-0206 Joint plate 6 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry