.
SDI ID | Manufacturer | Model | Description | Comments | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|
92854 | QFN 7x7 | IC Handler and change kit |
Must be able to handle the following package. 1) QFN 7X7,
The handler must be: 1) Gravity Feed 2) Tube in tube out TUBE TO TUBE) 3) Temperature requirements is ambient and elevated (hot). 4) Interface standard TTL, 5) Single Test site or what is available, 6) Supply voltage 220V 7) It will be a full production handler. 8) It must be rack and stack docking,
|
I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93866 | 8 inch | Complete | wafer fab line |
35K WPM TARGET DESIGN RULE: 0.15-0.18 CMOS OR IGBT DEVICE TYPE MANUFACTURE IS NEEDED. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106869 | Accretech / TSK | UF200 AL | Prober, AMBIENT AND HOT |
TSK UF200 AL needed in full operational condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101360 | Accretech / TSK | UF200S | Prober, AMBIENT AND HOT |
TSK UF200 needed in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
97184 | ADE | 9500 | wafer flatness measurement, 200 MM |
Tool wanted in working condition. 200 mm Prefer to buy a tool in the USA. Dec 2019 |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84106 | Advanced Energy | MDX-10K | AE Pinnacle 10KW AE #3152414-122 | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86472 | Advanced Energy | 3152337-101 Pulsar | high power dc pulse generator model 3152337-101 | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106988 | Advanced Energy | RFG 2000-2V/RFG 2K 2V | M/N:3155053-003,3155053-007 |
AE RFG 2000 2V wanted in full working condition. Cannot be from banned country |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95571 | ADVANTEST | V93000 PS1600 | tester |
Advantest V93000-A with two digital cards PS1600 and a AVI64 instrument |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86662 | Advantest | T5503A | Automated Test System | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95432 | Advantest | T5588 | Automated Test System |
NEEDED IN GOOD, WORKING CONDITION |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99273 | Advantest | T5588 | Test system | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96989 | ADVANTEST | T5503 or T5503A | Automated Test System | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84778 | Advantest / アドバンテスト | 5503A | Automated Test System | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102503 | AE | 3155036-006A | RF Generator / Match |
AE ISO Match RF generator needed in full working order, P/N 3155036-006A, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106889 | AE | MDX-L12M | RF Generator |
AE MDX-L12M needed in full working condition / refurbished for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
113292 | AE | Paramount MF 3kW | RF Generator |
Looking for an AE Paramount MF 3kW in full working order for immediate purchase. Needs to be 400kHz, >2.5kW including pulsing features. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101825 | AE | 3152327-000 | Controller |
AE 3152327-000 controller needed in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106987 | AE | Ewave 3152603-006 | RF Generator |
AE Ewave 3152603-006 RF generator needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106990 | AE | AE PDX 5000(3156043-205) 27-262734-00 | RF GENERATOR |
AE PDX 5000 RF generator needed in fully working / refurbished condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106991 | AE | PDW 2200 | RF Generator |
AE PDW 2200 RF generator needed in full working / refurbished condition, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106992 | AE | AE PDX 5000(3156043-205) 27-262734-00 | RF GENERATOR |
AE PDX 5000 RF generator needed in full working ./ refurbished conditin for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
88363 | Affinity | CAA--002H-BB06CBD3 | chiller | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100870 | AG ASSOCIATES | 610 | Benchtop RTP System, for up to 6" Wafers |
AG A610 or 8108 needed in full working order. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102641 | AG Associates | Heatpulse 4100 | RTP Heatpulse |
AG Associates AG 4100 Heatpulse RTP needed complete in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93859 | Agilent | HP4073A | Automated test system |
Agilent HP4073 in any condition needed for immediate purchase for spare parts |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98856 | Agilent / Verigy / Keysight | V93000 PS400 | SOC TESTER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95064 | Agilent / Verigy / Keysight | 4082F | Automated Test System |
CONFIGURATION REQUIRED:- Agilent (Keysight) 4082, 28 pins, (standard 10fA current matrix), 4 Medium Power, (100V/100mA) SMU’s, 1 High Power, (200V/1A) SMU, high speed LCR - CV meter, (E4980A), nVolt Meter (34470A), two channel pulse generator (SPGU) and Ring Oscillator Kit (Spectrum Analyzer). |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100889 | Aixtron | 2400 G3 | MOCVD Reactor |
Aixtron 2400 G3 MOCVD Reactor needed in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99897 | Aixtron | 2400 G3 | MOCVD Reactor |
Aixtron 2400 G3 MOCVD Reactor in full working order required for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103735 | ALCATEL | A100P | VACUUM PUMP |
Alcatel A100P Vacuum Pump needed in full working order, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
87824 | amat | Ultima PLUS | CVD TOOL | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84526 | AMAT | 0010-05254 | 200 MM DXZ HEATER (New or refurbished) | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99435 | AMAT | Ultima Plus | 200mm |
AMAT Ultima Plus 200mm needed in working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93815 | AMAT | 0010-12814 | Dual Zone Ceramic Heater |
Applied Materials Model: 0010-12814 Description: Dual Zone Ceramic Heater 200mm HI7 RIGID TE |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96654 | AMAT | Reflexion LK |
AMAT Reflexion LK needed immediateky in working order |
I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101022 | AMAT | Centura MxP | Etch |
AMAT Centura MxP Etch needed in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
97185 | AMAT | Producer | Dual Wafer System |
AMAT Producer 200mm needed in working condition for as is purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92855 | AMAT | TxX Chamber | Endura TxZ Chamber |
Need AMAT TxZ 300mm chamber for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102630 | AMETEK | CG1100-RTP | RF GENERATOR |
Ametek CG1100 RTP RF Generator needed in good condition and full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103431 | Applied Materials | 0010-12447 | AMAT Magnet Assy |
Wanted AMAT 0010-12447 Magnet Assy, fully operational, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103433 | Applied Materials | 0010-25739 | AMAT Magnet Assy |
Used AMAT 0010-25739 Magnet Assy needed in woirking condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
105999 | Applied Materials | 0010-12516 | 200mm MCA E-Chuck Assy |
Looking for AMAT 0010-12516 200mm MCA E-chuck assy in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106796 | Applied Materials | Centura RTP XE+ | Rapid Thermal Processing |
AMAT Centura RTP XE+ needed in fully functionaql condition, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109109 | Applied Materials | Verity 2 | CD MEASUREMENT SEM OR SIMILAR | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84024 | Applied Materials | 0010-1286 | MCA E-CHUCK | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84553 | Applied Materials | PI-9500 | Implanter | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
89951 | Applied Materials | Mirra Desica | CMP Polisher | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86624 | Applied Materials | Verity 4i+ or 5i | CD SEM | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84083 | Applied Materials | 0010-12814 | Dual Zone Ceramic Heater 200mm HI7 RIGID TE (refurbished) | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96380 | Applied Materials | 0660-01542 | VME 21 slot Back plane card | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108941 | Applied Materials | P5000-Mark II Etch | Metal |
AMAT P5000-Mark II Metal Etch needed fully operational for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86671 | Applied Materials | Endura 2 | TxZ Chamber | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
112021 | Applied Materials | Endura 2 Encore | with 3 chambers including Encore II Tantalum, RFX3 or M Cu, Exacta Al |
need a platform with 3 chambers including the following ones:-
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
112023 | Applied Materials | Verity 6i | CD-SEM for 8 inch wafers | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
97186 | Applied Materials | centura 5200 dxz | CVD System | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106149 | Applied Materials | 0040-91179 | 300mm TxZ MCA E-Chuck Assy |
AMAT 0040-91179 MCA E-chuck needed in full refurbished working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
97447 | Applied Materials | Centura 5200 | CVD TiCl4 4 Chamber | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106163 | Applied Materials | 0010-53901 | 300mm Producer Ceramic Heater New Unused |
AMAT 0010-53901 ceramic heater in excellent condition needed for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106171 | Applied Materials | centura radiance 3 ch | rapid thermal processing xe plus non toxic atm 3 ch with 3 x cooldown ch | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101845 | Applied Materials | 0100-90231 | Wafer loader cassette indexer PCB | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106976 | Applied Materials | 0010-01166 | 200mm MCA E-Chuck Assy |
Need AMAT 0010-01166 MCA E-chuck Assy in as new / refurbished condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108922 | Applied Materials ® | Mirra ® 3400 | Stand-Alone CMP system |
AMAT Mirra 3400 needed in fully operational condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
87830 | Applied Precision | Probeworx 300 | I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100894 | APT | 3245 | AL etch |
APT 3245 AL Etch needed in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
90657 | asm | a400 | Vertical Furnce - LPCVD | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99882 | ASM | Pulsar 2000 | ALD system or parts, 200 mm | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99889 | ASM | Siplace SX2 | Pick & place |
ASM Siplace SX2 Pick & Place unit needed in good working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86666 | ASM | POLYGON 8200 | ALD | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108962 | ASM | E2000 | Epitaxial deposition system ,200 mm, with HCl, H2, HCl3Si,AsH3, PH3 |
E2000 Plus |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
90322 | ASM | Eagle10 TRIDENT | PLASMA CVD | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109065 | ASML | PAS 5500-850 | duv scanner | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95514 | ASML | PAS 5500/1100 B | Photolithography | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
90658 | ASML | XT1400 or newer | DUV SCANNER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
90665 | ASML | SERV.502.27400 | 2500W TCU MKII + UPGRADE SET | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
89014 | ASML | 100 | I-LINE STEPPER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106184 | ASML | PAS 5500-300 THROUGH 850 | DUV Scanner with 200 nm resolution |
OVERLAY 50 NM AND 80 NM MACHINE TO MACHINE |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99283 | ASML | PAS5500/250C | i-line stepper |
ASML PAS5500-250C i-line stepper in fully working condition wanted for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86247 | ASML | PAS5500/700D | 248 nm lithography | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
111350 | ASML | SERV.502.27950 | Pneumatic unit 1/2 for ASML PAS5500-300 | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106159 | Aspex | Explorer VP | SEM | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93377 | Astex / MKS | Semozone | Ozone Generator |
I am always on the lookout for:
· IN USA Corporation o dDFOZ Sensors (Dissolved ozone sensors) o MINI SCI MUX Controllers § Both for · gFFOZ and · dFFOZ o gFFOZ Sensors (Ozone gas concentration) o SCI MUX Controllers § Works for both dFFOZ and gFFOZ sensors · ASTEX o Semozone 90.2 LP, HP or XP model Ozone generators · MKS o Semozone 90.2 LP, HP or XP model Ozone Generators · Entegris o Phasor Infusers |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100900 | ASYST | SPARTAN EFEM | Wafer Sorter |
Asyst Spartan EFEM 200mm / 8" wafer sorter needed in fully operational condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98701 | ATS | ESL-60 | Chiller |
ATS ESL-60 chiller needed immediately in good working order ESL-60 SE TV or ESL-60 TV versions both acceptable |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95236 | Axcelis | Ultra HC3 | high current implanter, 300 mm | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96557 | Axcelis | GSD 200E | High Current Ion Implanter |
Needs to be operational |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99900 | Axcelis | NV-6200 AV | Ion implanter |
Axcelis NC-6200 AV Ion Implanter required for spares use, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84035 | Axcelis | Purion XE | high energy implanter | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95564 | AXCELIS | 100024770 | HVPS 80.5 KVA FAST RECOVERY | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110793 | Axcelis | Glassmann HV-PS 40KV / 50mA | HV Power Supply |
Axcelis Glassmann HV-PS 40KV / 50mA HV Power Supply P/N 5980-0119-0001 needed in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110801 | Axcelis | 5980-0119-0001 | 40 KV / 50mA Glassman HV-PS | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93398 | Axcelis | NV-GSD-200 | Implanter, 160 KEV | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109279 | AXUS | CAPSTONE | CMP oxide |
If you have an Axus Capstone CMP system available, then, please can you be so kind to send us the following information about it:-
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84202 | Balzers | LLS 801 | sputter - for spares use | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
89948 | biorad | qs2200a | FTIR | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93816 | BIORAD | QS-408M | Manual FT-IR Spectrometer |
Manufacturer: BIORAD Model: QS-408M Description: Manual FT-IR Spectrometer for Epi, SiN, BPSG Measurement & More, up to 200mm Wafers, |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93370 | Biorad | QS2200 ME | Wafer Measurement - FTIR | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100866 | Brooks | M300 | FOUP Cleaner / Box Washer |
Looking for Brooks M300 FOUP washer: must be in full working order. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
105860 | Brooks | Magnatran 7 Robot 002-1500-11 | ROBOT |
Brooks Magnetron 7 Robot 002-1500-11 needed in fully operational condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
112015 | Brooks | SCS 2000 | Wafer sorter |
Brooks SCS 2000 Wafer Sorter needed in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
114259 | Bruker | Vertex | FT-IR Spectrometer |
Bruker Vertex or Invenio FT-IR Spectrometer needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108921 | Cameca | IMS 7f / 6fe7 / 5FE7 | Secondary Ion Mass Spectrometry System |
SIMS 7f / 6fe7 / 5fe7 needed in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99959 | Canon | BESTEM-D02 | Die Bonder |
Canon BESTEM-D02 (or later models) wanted in fully operational condition for immediate sale. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92039 | Canon | ZMI 1000A | PCB |
THE CANON PART NUMBERS FOR THESE ARE AS FOLLOWS:- Canon BG4-6485 ZMI1000A Board |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
113300 | Canon | FPA 5000 | Stepper |
Canon FPA5000 ES4 Stepper required in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102058 | Canon | i4 | i-line stepper | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92355 | Canon | FPA-3000 EX5 | DUV Scanner | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96089 | Complete | 1100MM X 1250 MM | TFT Production line | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
112022 | complete | g5.5 | flat panel display production line with 120-180k per month | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95561 | Credence | Quartet 671-4408-XX | V18 board |
Looking for Credence Quartet tester with V18 board 671-4408-XX |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95562 | Credence | Quartet 671-4461-XX | Credence Quartet tester with PIN card |
Looking for Credence Quartet tester with PIN card 671-4461-XX |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102585 | Credence | ASL 1000 | Tester |
2010 or later Credence ASL1000 Tester required in fully operational condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102586 | Credence | ASL 1000 | Tester | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106034 | CTI Cryogenics | 8033168 | CT8 Torr High Vacuum Pump |
Cryogenics CTI CT8 vacuum pump needed, diode version not cadran, in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
87400 | Cymer | ELS 6400 | 248 nm lasers | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99905 | Dage | 4000HS | High speed bondtester |
Dage 4000HS high speed bondtester needed in working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100890 | Daitron | WBM-2200 | Wafer Edge Grinder |
Daitron WBM-2200 wafer edge grinder needed in full working condition for immediate purchase. Needed for 100, 150 and 200mm sapphire wafers for notch mark and primary flat. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
111453 | DATACON | 2200 EVO | BONDER FOR SPARE USE (SEE REQUIRED SPARES LIST ATTACHED) |
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98964 | Delvotec | 5450 | wire bonder |
Delvotec 5450 wire bonder in full working order required for immediate purchase. Models 5610 and 5650 would also be suitable |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101854 | Delvotec | 5410 | Wire Bonder |
Looking for a Delvotec 5410 or similar in full working order fo immediate purxhase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
107018 | Disco | DFD 6860 | Edge Trim Tool |
Disc DFD 6860 edge trim tool needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98324 | DISCO | DFL7340 | Laser Saw for Stealth dicing process WITH 1045 NM LASER |
for use with:- 2”-4” InGaAs /InP 3”-4” Germanium 6” Silicon |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106025 | Disco | DFD681 | Dicing Saw |
Disco DFD681 Dicing Saw needed in full operational condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98864 | Disco | DWR1722 | De-ionized water recycling unit |
Disco DWR1722 Deionized water recycling unit needed immediately. Must be in full working order. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103223 | Disco | DTG8440 | GRINDER FOR TAIKO PROCESS, 8 INCH | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99896 | Disco | DFL7161 | Laser saw |
Disco DFL7161 laser saw in full working order required for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
87369 | Disco | DFP8160 | Wafer Polisher | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84003 | DNS | SP-W621 | SPIN ETCHER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96556 | DNS | SSW 80A-AR | Scrubber |
Needs to be operational |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99832 | Dyna Tech | DT-MSM-1030A | Manual Tape Mounter |
Dynatech DT-MSM-1030A Manual Tape Mounter needed in working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99898 | Dynatech | DT MSM 1050A | Wafer Mounter |
Dynatech DT MSM 1050A Wafer Mounter required in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106024 | EBARA | A150W-T | DRY PUMP |
Ebara A150W Dry Pump needed in full operational order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106035 | Ebara | A70W | Dry pump |
Ebara A70W dry vacuum pump needed in full operational condition, for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99971 | EBARA | F-REX300 S2 | CMP |
Ebara F-REX 300 S2 needed complete in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106144 | Ebara | A150W and A70W | Mechanical Vacuum Pump |
Looking for several Ebara A150W and A70W mechanical vacuum pumps in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
111351 | ECI | QL-10 EX | Plating bath analyzer |
ECI QL-10 EX or equivalent Plating Bath Analyzer needed in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106026 | EDWARDS | STP-1003C | Turbomolecular pump |
Edwards STP-1003C needed fully operational for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106027 | Edwards | IGX1000N | Mechanical Vacuum Pump |
Edwards IGX1000N Mechanical Vacuum Pump needed fully operational for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
107003 | Edwards | GV 600 | Mechanical Vacuum Pump |
Edwards GV 600 pump needed in full working order: must be located in the USA |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100872 | Electro Scientific Industories (ESI) | ICP 5530 | ESI Laser |
ESI ICP 5530 needed in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100882 | Electro Scientific Industories (ESI) | ICP 5530 and Q301 laser | ESI Laser |
ESI 5330 needed with JDSU Q301 laser: latter is essential. Must be in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
105998 | ENI | OEM-28B-03 | SDI ID:79821 |
Looking for any ENI OEM-28B-01 RF Generator thru to OEM-28B-05. Must be in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106053 | ENI | OEM-28B | RF Generators |
4 pcs ENI OEM-28B required refurbished / full working order, for purchase by May 2022 |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106054 | ENI | OEM-28B | RF Generators |
4 pcs ENI OEM-28B required refurbished / full working order, for purchase by May 2022 |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106067 | ENI | OEM-28B | RF Generators |
Need 4 pcs ENI OEM-28B (any version) in full working order / refurbished for separate purchases over next 3 months |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106076 | ENI | ACG-6B | RF Generator ACG-6B and ACG-10B-01 |
ENI ACG-6B (any version) and ACG-10B-01 RF generators needed fully refurbished for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106101 | ENI | OEM28B-02 | RF generator |
4 units refurbished ENI OEM-28B-02 RF generators needed for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84601 | Esec | 2007 | Die Attach |
soft solder die attach bonders
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110773 | ESEC | 2009SSI | bonder with softsolder and head for 250 N | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93879 | ESI | 44 | Laser trimmer | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108011 | ESI | M4300 | Laser Trimmer |
ESI 4300 needed in fully operational condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
90653 | EVG | Gemini | Wafer Bonding system , 200 mm, with 40kN capacity | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
87091 | EVG | Gemini FB | Wafer Bonder | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95557 | EVG | 520 | Semi-automated wafer bonding system |
Must be in working condition with no major missing parts or problems |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110669 | EVG | 850 | Bonding system |
EVG 850 wanted in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86318 | FEI | Nanolab 200 | Dual Beam FIB-SEM | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110768 | FEI | Defect Review SEM | Defect Review SEM with EDX |
FEI or Hitachi etc Defect Review SEM with EDX required in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86471 | FEI | Helios | sem | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99278 | FEI | Helios Nanolab 400 | FIB SEM |
FEI Helios Nanolab 400 FIB SEM wanted in working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99285 | FEI | Strata 400 Series or similar | Dual Beam FIB-SEM |
NEEDED TO BE IN WORKING CONDITION AND NOT MISSING ANY PARTS |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101815 | Filmetrics | F50 | UV Mapping Reflectometer |
Filmetrics F50 UV Mapping Reflectometer needed in full working condition for immediate purchase. Needed for measuring films of thickness 5um to 50um with repeatability of <1nm and accuracy <0.3um. Ideally looking for spot size between 20um and 300um but a spot size of 20um only would be OK. Single point is OK. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92343 | Fluoroware | HTC 8020 | Box washer, 200 mm | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99888 | Four Dimensions | 280 Si | Prober |
Four Dimensions 280 Si Prober required in good working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108008 | Genmark | GB4P | Robot |
Genmark GB4P x2 pcs needed in full operational condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109064 | GSI | LT2100 or LT2200 | Laser trimmer | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95721 | GT Solar | ASF | Sapphire Growth Furnaces | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96676 | Hamamatsu | Phemos | Emmi | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106033 | Heidelberg | XL 105 - 4 + LX | Printing Press |
Heidelberg XL 105-4+LX needed in full working order / refurbished for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110762 | Highmax | SHM-200 | Wafer mounter |
Highmax SHM-200 Wafer Mounter needed in full operational condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86276 | hitachi | UA 7200 | Asher | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99904 | Hitachi | S2700 | SEM |
Hitachi S2700 SEM needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
105859 | Hitachi | S-9300 | CD SEM |
Looking for 2 pcs or more Hitachi S-9300 and also S-9360 AS IS for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
94609 | Hitachi | S-3400 | CD SEM | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103830 | Hitachi | S-8820 or S-8840 | CD SEM |
Refurbished 2 pcs Hitachi CD SEM S-8820 or S-8840 required in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
90321 | Hitachi | TM 1000 | sem |
must be low cost |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95948 | HYPERVISION | PTF1 | IR Emission Microscope | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84604 | ICOS | CI-T120 | Lead Scanner | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101766 | INVALID[250 MWp] | Complete | PV Module Production Line |
Looking for 350MWp solar module line, up to 6BB, monocrystalline, 60 - 72 cells, excellent condition for purchase mid 2021 |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108949 | INVALID[Advanced Energy (AE)] | PDX 5000 | RF Generator |
AE PDX 5000 or 8000 needed in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106032 | INVALID[EDWARDS Model: STP-1003C Turbomolecular Pump 01.12.1999 ] | STP-1003C | Turbo pump |
Edwards STP-1003C Turbo Pump needed in full operational / refurbished condition, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99890 | INVALID[Meiki] | MVLP Alpha 500/600 | Tape Laminator |
Meiki MVLP Alpha 500/600 tape laminator requird in good working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99899 | INVALID[Nabatherm] | N 4010/26/HA | Drying Furnace |
Nabatherm N 4010/26/HA Drying Furnace required in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100054 | INVALID[STS/SPTS] | Pegasus / Omega | DRIE |
STS/SPTS Pegasus or Omega DRIE needed in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92341 | IPG Photonics | JPSA IX6600 | UV Laser lift-off system | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95241 | Jeol | JBX5500FS | E-beam Lithography System |
Looking for operational tool for inspection and purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84070 | Jeol | JXA-8600 | Electron Probe Microanalyser with EDX | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101765 | JEOL | 2100 TEM | TEM |
JEOL 2100 TEM required in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92359 | JPSA or IPG | JPSA IX 6600 or IPGIX-255-LLO | UV laser Lift-off system |
Used for GaN lift-off from Sapphire - beam 1x1mm FOV 248nm or 193nm |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101361 | K&S | IConn | wire bonder |
K&S IConn wire bonders needed: 10 pcs if in full working order, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98961 | Karl Suss | MJB-21 | Mask Aligner |
Karl Suss MJB-21 Mask Aligner needed in full working order |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108977 | KARL SUSS | MA300 | I am interested in buying a mask aligner for 6inch square substrates, 7 or 8inch mask size, Hg lamp, manual align. What options do you have? |
Need MA300 mask aligner for 14" square substrates in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106068 | Kawasaki | 3NS411B-F003 | atmospheric wafer robot (AMAT CMP) |
Kawasaki 3NS411B-F003 or F004 Robot with controller needed in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99288 | KEITHLEY | S425 | Test system |
Keithley S425 test system needed for immediate purchase. Must be in full working order. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109123 | KLA | 2367 | brightfield wafer inspection |
for 200 mm wafer, open cassette loading |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
111436 | KLA | Aset F5 or F5x | thin film measurement system, 200 mm, open cassette loading |
aset f5 or f5x thin film measurement system, fitted with open 8 inch cassette loading |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
114253 | kla | 2365 or 2367 | brightfield inspection | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
89973 | KLA | 285714 | I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106145 | KLA | ATM-207-2-S-CE | Robot |
KLA ATM-207-2-S-CE Robot needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101814 | KLA | Alphastep 200 | Profiler Refurbished |
KLA-Tencor Alphastep 200 wanted in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106176 | KLA | SP1-DLS | WAFER INSPECTION, PREFERRABLY REFURBISHED, WITH DUAL 300 MM SMIF LOADER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106957 | KLA | rs100 OR RS 75 | resmap |
WORKING CONDITION REQUIRED. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106965 | KLA | f5x | Overlay measurement system with onboard 150mm and 200mm OLSA software | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99442 | KLA Tencor | P11 | Wafer surface profilometer |
KLA Tencor P11 needed in refurbished condition, with warranty |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96378 | KLA Tencor | Aleris 8500 | Thickness measurement | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93813 | KLA - Tencor | 5300 | Overlay measurement |
KLA-Tencor 5300 in good condition needed immediately |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95572 | KLA -TENCOR | EV3000 | SEM with Oxford EDX | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93838 | KLA -TENCOR | Alpha Step 500 | Profilometer |
KLA-Tencor Alphastep 500 Profilometer in good condition for immediate purchse |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103209 | KLA Tencor | ADE 9700 | Wafer flatness measurement |
Looking for KLA-Tencor ADE 9700 complete and fully functional for immediate sale. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99892 | KLA Tencor | SP2 | Wafer Surface Particle Detection |
KLA Tencor SP2 or SP2 XP required in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99965 | KLA Tencor | Candela 6120 | Disk inspection |
KLA Tencor Candela 6120 Dark Inspection needed in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98702 | KLA Tencor | Aleris 8350 | Film thickness measurement system |
KLA-Tencor Aleris 8350 needed in good working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96542 | KLA-TENCOR | P17 | Profilometer |
KLA-Tencor P17 Profilometer needed immediately in good condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96544 | KLA-TENCOR | 5200XP | Overlay |
KLA-Tencor 5200XP overlay needed in full working order with warranty |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92409 | KLA-TENCOR | CERCL2 | Macro-Defect |
KLA-Tencor Macro-Defect for 100mm – 200mm wafers, preferably a CERCL2 or an 8000-8900 series. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100869 | KLA-Tencor | SP3 | Darkfield wafer inspection system |
KLA-Tencor SP3 needed in full operational condition: installation, staff training and 6 month warranty also required with the tool. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100898 | KLA-Tencor | Alpha Step 500 | Profilometer |
KLA-Tencor Alpha Step 500 Profilometer needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
97843 | KLA-Tencor | CANDELA CS20 | wafer inspection | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84025 | KLA-Tencor | SP1-DLS EH2 | wafer inspection | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96078 | KLA-Tencor | Sp1 Classic | surface particle detection system |
wanted in the fab running |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99436 | KLA-Tencor | UV1280SE | thin film metrology | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84081 | KLA-Tencor | SP2 XP | wafer inspection, 200 mm | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100988 | KLA-Tencor | SP3 | Darkfield wafer particle inspection system |
SHOULD BE LOCATED IN NORTH AMERICA, DUE TO COVID19 TRAVEL RESTRICTIONS. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106876 | KLA-Tencor | Surfscan 4500 | Unpatterned Wafer Surface Inspection |
Surfscan 4500 needed in full working condition, for purchase this year |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101762 | KLA-Tencor | 5200XP | Overlay Measurement System |
KLA-Tencor 5200XP needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84368 | KLA-Tencor | UV 1280SE | FILM THICKNESS MEASUREMENT |
-Needs SE Option
-Wafer Fab interface required: Open 8 inch cassette loading -Should be CE marked -We would like to purchase a tool in good condition for use in production |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92853 | KLA-Tencor | 5300 | Overlay Measurement System |
for 200 mm wafers |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101824 | KLA-Tencor | 2915 | Inspection System |
KLA-Tencor 2915 needed in full working condition with no missing parts for immedaite purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102635 | KLA-Tencor | RS 200 | Resistivity mapping system |
NEEDED IN WORKING CONDITION SALES AGENT: STEPHEN HOWE tel 830-388-1071 |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102640 | KLA-Tencor | ADE 9700 | Wafer flatness measurement |
Looking for a KLA-Tencor ADE 9700 complete and in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95513 | Kokusai | DD-833V | Etch | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103833 | Lam | 9600ptx | Dry etcher WITH 2 X PTX ETCH AND 2 X STRIP CHAMBERS | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96992 | Lam | 4520XL | Dry Etcher |
LAM 4520XL or XLE required immediately, must be in good working order |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93814 | LAM RESEACH | A6TM | Dry Etcher | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
111397 | LAM RESEARCH | STRIKER FE | CVD | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103808 | Lam Research | 685-097949-001 & PC80117 | SMARTMATCH parts |
Parts needed immediately for LAM Alliance 9600 |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98965 | Lauffer | VSKO 175 | Mould press |
Lauffer VSKO 175 or other standard Lauffer mould press needed in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103727 | Laurier | DS-7000 | Pick and Place System |
Laurier DS7000 or similar P&P system needed. Fully functional tool needed to pick from 5" vacuum-release gel pack to another 5" vacuum-release gel pack, using pick map file from AOI tool. Optional flip-over or tombstone placement also.
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92418 | Leica | MIS200 | Wafer Inspection Microscope |
Leica MIS200 Wafer Inspection Microscope needed in very good condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
83995 | Leica | LMS 2020 | Microscope | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103744 | Leica | INS 3300 | Microscope inspection station |
Leica INS 3300 Microscope Inspection Station in full working order for purchase any time in 2021 |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93817 | Leica | MIS200 | Wafer Inspection Microscope |
Wanted immediately: Manufacturer: LEICA Model: MIS 200 Description: Wafer Inspection Microscope |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
88984 | Leica | Z16 APO | Microscope | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109043 | Leica | LMS IPRO | mask metrology | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84504 | Leybold / Balzers | Z660 | ION BEAM ETCHER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101699 | Lintec | RAD 2500m/12 | AUTO WAFER MOUNTER |
Lintec RAD 2500m/12 needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93887 | Lumonics | M310 | Laser Trimmer | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99952 | MAN Roland | R504 | Printing press |
MAN Roland R504 required in good working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99953 | MAN Roland | R505+LV | Printing press |
MAN Roland R505+LV press needed in good working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
87801 | Marangoni | IPA Vapor Dryer (Marangoni Drying) EMI Vocus Systems | IPA Vapor Dryer (Marangoni Drying) | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
107013 | Mattson | 2800 or 2900 | RTP |
REQUIRED FOR REFURBISHMENT |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95618 | Mattson | Aspen II ICP | ICP etch, 8 inch wafers | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99908 | Meco | EPL/EDF 2400 | plating line |
Meco EPL/EDF 2400 metal plating line in excellent / refurbished condition needed for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99909 | Meco | EDF + EPL 2400 | plating line | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109087 | Meyer Burger | DW288 Series 3 | Wire saw | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101737 | Mirtec | MV9 | AOI & SPI |
Mirtec MV-9 needed in full working order for immediate purchase. MUST BE LOCATED IN USA |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84600 | Mitutoyo | VL-50AS | Litematic Digimatic Measuring Unit | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
111409 | MKS | AX7700-01 | Remote Plasma Cleaner |
MKS AX7700-01 Remote Plasma Cleaner needed in complete and fully functional condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
111474 | MKS | EDGE | RF Generator |
MKS EDGE 350R40A needed in fully operational condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103796 | MKS | AX7695PSK-01 | RF Generator |
MKS AX7695 PSK-01 RF Generator needed in fully working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95128 | MKS / Astex | AX8403A | Ozone Generator |
Need fully operational tool preferably with limited warranty. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84838 | MRSI | MRSI-503M | FLIP CHIP DIE BONDER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100883 | Multitest | MT9928 XM | Handler |
Need a Multitest MT9928XM Handler in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96795 | Multitest | MT9928 | TRI-TEMPERATURE TEST HANDLER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95609 | Multitest | MT9928 | test handler - bowlfeed to canister, dual or tri-temp | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102584 | Mydata | MY600 | jet printer |
Mydata jet printer needed even if older model, as long as fully functional, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
112020 | N&K TECHNOLOGY INC | 5700cd or 8000cd | metrology, 200 mm wafer open cassette, sec/gem, ocr |
For 200mm wafer open cassette |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99895 | Nanometrics | Nanospec 6100 | Film thickness measurement system |
Nanometrics Nanospec 6100 Film Thickness Measurement System required in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110681 | Nanometrics | RPM Blue | photoluminescence mapping tool | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103831 | Nanometrics | Q230 | OVERLAY MEASUREMENT |
Nanometrics Q230 Overlay needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99438 | Neslab | System 4 | Chiller |
3 pcs Neslab System 4 chillers needed for immediate purchase. Must include the panels and controller. Must be no damage to the frame. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102582 | NIHON GARTER | NCT-5100 | TAPING |
Nihon Garter NCT-5100 Taper wanted in fully operational condition, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106794 | Nikon | NVC8-CCU | camera switcher unit |
Nikon NVC8-CU switcher unit needed in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95570 | NIKON | NSR-S204B | DUV scanner with Gigaphoton G20K2 KrF laser | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84060 | Nikon | Fx-702J | i-line stepper | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103267 | NIKON | S322F | 193 nm lithography exposure system | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84354 | Nikon | ECLIPSE L300D | Inspection Microscope | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84114 | Nikon | I14E2 | I-LINE STEPPER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96675 | Nikon | s204b | DUV SCANNER, 248 NM KrF | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102636 | NIKON | Optistation OST3200 | wafer surface inspection |
Nikon Optistation OST 3200 WaferSurface Inspection wanted in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86317 | NISSHINBO | 1722N | Laminator | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
90386 | Nissin | NH20SR | implanter | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95072 | Nordson | 1701 | RIE 6 or 8 inch |
-wanted in working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99966 | Nordson Asymtek | SL-940E | Selective Coating Machine |
Nordson Asymtek SL-940E needed in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109085 | Nova | 2040 | CMP metrology system | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
107021 | Novellus | Concept 2 Dual Altus (Shrink) | CVD system for Tungsten Deposition |
Novellus Concept 2 Dual Altus CVD System needed in full working condition for immediate purchase.
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98326 | Novellus | Innova Next for AL, 300 mm | pvd, 300 mm for AL | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106569 | Novellus | C2 speed shrink | HDP 3 CHAMBER CVD WITH 3 X NANOFILL |
HERE IS THE CONFIGURATION NEEDED:- 1. GENERAL INFORMATION |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95568 | NPC | 3BB Stringer | stringer 3bb, prefer 2014 vintage | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84831 | Nuflare | EGV-30GX | Epitaxial Reactor | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
87372 | Okamoto | GNX-200 B | grinder | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92420 | Olympus | GX71 | Microscope |
Olympus GX71 microscope needed in good/working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100877 | Olympus | BX50 | Microscope |
Olympus BX50 microscope needed in full working condition, for purchase in 1 month |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
89005 | Olympus | AL2100 | MICROSCOPE | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101846 | Orthodyne | M360C | Heavy Wire Bonder |
Orthodyne M360C Heavy Wire Bonder needed in full operational condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
107023 | Oxford | PlasmaLab 100 | ICP Etcher |
Oxford PlasmaLab 100 ICP Etcher needed in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101735 | Panalytical | X-Pert Pro MRD | XRD |
Panalytical X-Pert Pro MRD required in full working condition with S/N DY23XX or higher, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100879 | PANASONIC | UA3P | 3D Profilometer |
Panasonic UA3P 3D Profilometer needed in full working order for purchase in 1 month |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99894 | Panasonic | CM402 | Pick and place mounter |
Panasonic CM402 or CM402-M Pick & Place Mounter required in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
94429 | Philips | Panalytical 2830XT | WD-XRF, 200 mm , open cassette loading, Ti, Al and W | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99833 | Phoenix | PCBA Inspector 100 | X-Ray inspection machine for PCB |
Phoenix PCBA Inspector 100 needed in working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106197 | PSC | SA-2000 | Stripper/Asher |
PSC SA-2000 or SA-2080 needed in Q4 2022 in full working order |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86243 | RENA | EPM 311F | Electroplating Tool | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100892 | RIGAKU | 3700H | TXRF Wafer Analyzer |
Rigaku 3700H or V300 needed in full working order for immediate sale. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98327 | Rudolph | F30 | Macro Inspection |
Looking for Rudolph F30 with TWL handler in working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84513 | Rudolph | E25 | Wafer edge inspection system | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84004 | rudolph | nsx 115 | macro defect inspection system | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93818 | Rudolph | WS3840 | 3D Bump Metrology |
Wanted immediately: Manufacturer: Rudolph Model: WS3840 Description: 3D Bump Metrology |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86661 | Rudolph | f30 | macro defect inspection system | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99812 | SDI | FAaST-330 | Dielectric Characterization Tool with COCOS & Epi-t for up to 300mm Wafers | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106204 | Seiko-Epson | NS-7000 | Test Handler |
Seiko-Epson NS-7000 Test Handler needed in full operational condition, for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
88985 | Semitool | SAT | WET | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95723 | SEN | NV-GSDIII-180 | IMPLANTER HIGH CURRENT, with SMIF loaders | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
107020 | SEZ | SU-2000 | Single wafer cleaning tool with backside cleaning |
SEZ SU-2000 single wafer cleaning tool with flipper module for backside cleaning capability. Must be in full operational condition, for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84010 | SEZ | DV38 | CLEAN | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96379 | SEZ | 203 | Spin Etcher |
As is tool needed in good condition, standard config |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
89018 | SEZ | SP223 | no | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92354 | Shimadzu | QP2010SE | GCMS | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103430 | SHINKAWA | UTC-3000WE | Automatic Wire Bonder |
Looking for an auto wedge bonder for A1 wire 25 micron, 45-60 degree wedge, fully operational for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99970 | SHINKAWA | UTC-3000 | WIRE BONDER |
Shinkawa UTC-3000 needed in complete fully working conditon. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
92031 | Shinwa Controls Co., Ltd. | CPC2 | T and H unit for a TEL Mark 7/8 | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99893 | Siemens | Siplace F4 | Pick & place |
Siemens Siplace F4 Pick & Place required in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
111408 | SMC | HRZ010-WS-DF-X073 | Chiller |
2 pcs SMC HRZ010-WS-DF-X073 chillers needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106187 | smc | inr-498-011c | chiller |
3 pcs SMC INR-498-011A needed in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99886 | Solar Cell | 200MW | solar module mfg line |
200 MW solar cell manufacturing capacity needed. Must be in excellent condition and full working order |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99891 | Sonix | HS 1000 | Scanning Acoustic Microscope |
Sonix HS 1000 required in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106870 | Sonoscan | D9000 | CSAM |
Sonoscan D9000 needed in fair condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103743 | Spea | 4040 | Flying Probe |
Need Spea or similar flying probe, 4 needles on top and 2 on bottom. Must be fully operational, for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99967 | Spea | 4040 | Flying probe tester |
Inline tester (not shuttle) needed in full working order. Ideally 2006 vintage with ATOS 3.3, or later vintage with Leonardo s/w.
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84095 | SPTS | Sigma I2L PVD | SPTS - Sigma i2L Deposition System- July 2010 | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95115 | SSM | 530 | CV Plotter |
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84353 | Strasbaugh | 6DE-DC-1 | Polishmaster Optical Polisher | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106841 | STS (SPTS) | MPX HRM | Dry Etcher |
Looking for an STS (SPTS) MPX HRM or Pegasus or Rapier in full working order for purchase in next 3 months. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100029 | Suss | FC300 | Flip Chip Bonder |
Suss FC300 Flip Chip Bonder needed in fully operational condition, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86483 | SUSS | PA 200 | PROBER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99272 | SVG Thermco | AVP8000 | Vertical furnace |
Looking for AVP8000 or 8200. Also RVP9000 or 9200. Also RVP300+ and RVP550. Must be in full working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
98323 | symtek | 429 | test handler | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86706 | TA Instruments | Q50 | TGA (Thermogravimetric Analysis | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84359 | Takatori | ATM-8200 | AUTO WAFER MOUNTER | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100878 | Taylor Hobson | Talysurf PGI 840 | Precision Form |
Taylor Hobson Talysurf PGI 840 Precision Form needed in full working order for purchase in 1 month |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106183 | Tazmo | TWS L2301-IS | Glass Recycler |
FOR USE IN PRODUCTION |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101852 | Team Technik | Stringer TT 1800 w/ Confirmware Cell loader | Stringer |
Looking for TT stringer(s) for production of 5-BB x 6" wafer cells, in full working order for immediate purchase. Production capacity muts be at least 100 panels of 6 x 4 cells each. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84014 | Technos | TREX 630T (Twin) | TXRF with extended range | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84776 | TEL | Vigus | Etch | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84548 | TEL | Mark 7 and Mark 8 | Main Arm Robot Assembly | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93839 | TEL | ACT 8 | Coater Developer |
TEL ACT 8 mono block wanted in good condition. Immeduate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102654 | TEL | ACT 8 | Track for I line and DUV, single block with 3 coaters and 2 developers |
TEL ACT8 single block - 3 coaters (2 different resists each) - 1 developer (2 different developers, 2x H-nozzle) - carrier station block with 4 uni cassette positions - hotplates suitable for i-line and DUV (PHP precision high temp, LHP low temp, CHP chill) - Fan Filter Unit - side cabinet for solvent and developer, single bottle resist supply -stand alone -can be with left to right or right to left wafer flow. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96651 | TEL (Tokyo Electron Ltd) | Precio | Prober |
TEL Precio / Precio XL / Precio Uflex in working order. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100884 | TEL Tokyo Electron | VIGUS MK | Dielectric Etch |
Looking for TEL VIGUS MK Dialectric Etch with RF Generator 12.88 MHZ in full working condition, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95563 | TEL Tokyo Electron | Alpha-8SE-Z | Vertical Furnace, LPCVD Process, ONO | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96669 | TEL Tokyo Electron | Alpha 8 | D-poly process, 8 inch, non-smif |
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106166 | TEL Tokyo Electron | UW300Z | Wet Bench | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
87799 | TEL Tokyo Electron | Alpha 8 SE -E | H2 Anneal | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100893 | TEMPTRONICS | X-Stream TPO 4300 | Manipulator for Temptronics TPO 4300 |
Manipulator needed for Temptronics X-Stream TPO 4300 in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106075 | TEMPTRONICS | X-Stream 4300 | TEMPERATURE FORCING SYSTEM |
Temptronics X-Stream 4300 wanted in full working condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100881 | Teradyne | J750EX | -1024 CH |
Need Teradyne J750EX 1024 CH in good working order, for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86321 | Teradyne | J750 | TEST EQUIPMENT (pARTS) | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
109121 | Teradyne | Flex | Automated Test equipment |
Required config. as follows:-
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95569 | TERADYNE | UPAC 80 boards | Boards |
8 pcs Teradyne UPAC 80 boards needed immediately in good condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106867 | Teradyne | ip750ex | CIS tester with 512TH, 4pcs HSD200, 4pcs ICUD, 2pcs ICUL1G, 2ea IDPPC. |
REQUIRED CONFIG: 512TH, 4pcs HSD200, 4pcs ICUD, 2pcs ICUL1G, 2ea IDPPC |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103832 | Teradyne | Catalyst | Mixed Signal Tester |
Teradyne Catalyst mixed signal tester needed in full working order for immediate purchase. Ideally with uWave 6000 component included |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106173 | Teradyne | 239-016-xx | dps board qty 4 wanted | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99063 | Teradyne | ETS364 | Test platform |
Must be in working order |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95589 | Tesec | 8101-TT | tester |
Tesec 8101-TT or 881-TT in good working condition needed immediately |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95444 | Tesec | 8101-TT | Tester | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
86316 | Thermawave | TP500XP | Implant Dosing Measurement | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84027 | Toray | VE-500? | Vacuum Printing Encapsulation System with Unozawa TS300 Tripack Dry Vacuum Pump | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106036 | Trazar | 27-132651-00 SRN1-2 | RF Match |
Looking for 3 pcs Trazar 27-132651-00 SRNI-2 RF Match units in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106102 | TRAZAR | SRN1-2 | RF Generator |
Refurbished Trazar SRN1-2 RF generator needed for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
97050 | TSK/Accretech | UF3000LX | Wafer Prober |
TSK UF3000LX needed in good working order |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
84226 | Ultratech | Sapphire 100 | Stepper | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110677 | Ultratech | Saturn Spectrum 3 | Stepper |
Ultratech Saturn Spectrum 3 wanted in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
102505 | Ultratech | Sapphire 100 | stepper | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
99887 | Ultron | UH 101-C | UV Exposure |
Ultron UH 101-C UV Exposure needed in full working order |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95615 | Ulvac | ei5 | EVAPORATOR FOR METAL AND OXIDE DEPOSITION prefer 8 inch wafers |
This is a batch type high vacuum evaporation system for the deposition of metal and oxide on a substrate. Since the operation panel of this system has an integrated control function that realizes automated vacuum and deposition process, it is recommended for R&D use as well as for small-scale manufacturing. Batch type, high vacuum evaporation system. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95616 | ulvac | EBX 2000 | EVAPORATOR FOR METAL AND OXIDE DEPOSITION prefer 8 inch wafers | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
108010 | Unaxis/Balzers | ZH620 Alumina Fill | Fill Sputter Deposition System |
Unaxis Balzers ZH620 needed in fully operational condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110774 | USHIO | UMA H120 UNIHARD | UV WAFER EXPOSURE | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106795 | Varian | 3290 | Sputter |
Varian 3290 Sputter needed in full working order for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95617 | Varian | M2i | pvd, 6 inch wafers | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93872 | Varian | E19008650 | 300 kv hv probe | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
93399 | VARIAN | Viision 80 | Implanter | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
105847 | Various | SEM | Environmental SEM |
Philips Enviro L30 or similar SEM with wet backscatter detector needed in fully operational condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
88983 | Veeco | K465i | GaN MOCVD System | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
110803 | Veeco | M3304 | Batch Wafer Scrubber - Cleaner |
Required system configuration:- WaferEtchM3304 Base System, 60" Frame 1 Fan-Filter Unit, ULPA, Chemical cabinet for 60" frame Halar double-containment Load Station (150mm Cassettes) Load Station, 4 Cassette, 2 MCPs
Chemical Mgt. - dHF In-Tank Mixing Delivery System Chemical Mgt. - SC-1 Fresh In-Tank Pre-Mixed Delivery System Chemical Mgt. - DIW/DI:CO2 Delivery System
Spray Station - Base (Stations 1 & 2) Spray Station- Dispense, Arm-2 (SC1/DI:CO2) Spray Station - Vertical Brush - Dispense, Arm-3 (SC-1/DI:CO2) Spray Station- DIW/SC1/dHF Wall Dispense and B/S Rinse Spray Station- DIW Chamber Rinse
Brush Box (Stations 3 & 4)
|
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
100880 | Verigy | 93K PS1600 | tester |
Verigy 93000 PS 1600 Tester needed in full working condition. Please send vintage, photos and conditin: for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95727 | Verigy / Agilent | 4073B | PARAMETRIC TEST SYSTEM WITH E3127-69002. | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
112017 | Verteq | 200 - 300mm | Spin dryers |
Verteq or other makes Spin Dryers 200 - 300mm needed in full working condition for immediate purchase |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
95073 | Voetsch | VTS4057 | Temperature chamber | I own this item | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
97045 | West Bond | 7476E | wire bonder |
West Bond 7476E wire bonder needed in working condition |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
103454 | YES | 58TA HMDS | Vacuum Bake Vapor Prime Oven |
YES Bake Vapor Prime Oven for HMDS needed in good fully operational condition for immediate purchase. Must be for HMDS process |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
96077 | Yield Engineering | 450PB12-2P-J | Polymide Bake Oven |
-should be of recent vintage |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101023 | Yield Engineering | YES 6P | CLEANROOM OVEN |
Yield Engineering YES 6P Clearoom Oven needed in full working order for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
101696 | ZEISS | PROVE | REGISTRATION & OPTICAL CD Measurement of Photomasks |
Zeiss Prove CD needed in full operational condition for immediate purchase. |
I own this item | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
106169 | ZEISS | EVO 50 XVP | Scanning electron microscope, variable pressure | I own this item |