fabsurplus.com

Used Semiconductor Equipment , Assembly Equipment, Test Equipment and SMT equipment for sale at SDI Fabsurplus.com

Please find below an alphabetically ordered list of used semiconductor manufacturing equipment , test equipment, assembly equipment and SMT equipment we have for sale, updated in real time on fabsurplus.com via the SDI worldwide "DataNET".


SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
110804 12 Inch Complete R and D Test Laboratory for 8 and 12 inch wafers 300 mm 01.06.2005 1 as is where is immediately
111349 12 inch PARTIAL Wafer Fab Line, including 300 mm equipment from ASML, AMAT, TEL, Canon, Nikon and KLA etc. 300 mm 01.06.2010 94 as is where is immediately
111347 150 MW Complete Solar Cell and Module Production Line for M6 cells Solar 01.06.2018 1 as is where is immediately
54859 35 MWp Baccini Solar Cell Print line for Mono or Poly Crystalline Solar Cells 156 mm 01.11.2005 1 as is where is immediately
106039 6 inch Complete MEMS Production Facility for Sale 4 inch and 6 inch 01.06.2005 1 as is where is immediately
98785 60 MWp Baccini Solar Cell Print Line for Mono or Poly Crystalline Solar Cells Solar 01.05.2008 1 as is where is immediately
109082 8 inch Complete Wafer fab Line for sale 200 mm 01.06.1998 470 as is where is immediately
110771 8 inch Complete Wafer fab Line 200 mm 01.06.2000 1 inquire immediately
108645 8 inch / 6 inch Partial Bumping Wafer Fab Line 150 mm / 200 mm 01.06.2005 79 as is where is immediately
109540 Accretech UF3000 Prober 300 mm 01.05.2005 9 as is where is immediately
110708 Accretech PG200RM Fully Automatic Wafer Back Grinder 200 MM 01.06.2004 1 as is where is immediately
110709 Accretech UF200A Prober 200 MM 01.06.2001 1 as is where is immediately
110710 Accretech UF200A Prober 200 MM 01.12.2000 1 as is where is immediately
109571 Accretech / TSK UF3000 Fully Automated Prober 300 mm 01.05.2009 9 as is where is immediately
111359 Accretech / TSK UF3000EX Prober with HDD 1 as is where is immediately
111360 Accretech / TSK UF3000EX 01.01.2022 1 as is where is immediately
54226 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 7 as is where is immediately
95398 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95399 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95400 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95401 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95402 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95403 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
80264 ACOPIAN B24G210 POWER SUPPLY spares 1 as is where is immediately
4247 ADE 020986-10 259.82 uM thickness standard Spares 1 as is where is immediately
4249 ADE 020986-14 360.01 uM thickness standard Spares 1 as is where is immediately
103225 ADE NanoMapper FA Nano-Defects Inspection System 300 mm 1 inquire immediately
109554 ADE NANOMAPPER Phase Shift nano defect inspection system 300 mm 01.11.2001 1 as is where is immediately
98706 Adixen Alcatel ADS 602H Dry Vacuum pump combo Pump 01.05.2008 1 as is where is immediately
107064 Advanced Energy AZX 63 Controller HTCM Miscellaneous Spares 1 as is where is immediately
107075 Advanced Energy Cesar 1312 RF Generator Spares 1 as is where is immediately
107108 Advanced Energy Hilight 133 RF Generator Spares 1 as is where is immediately
107114 Advanced Energy LF-5 RF Generator Spares 1 as is where is immediately
107168 Advanced Energy PDX 2500 RF Generator Spares 1 as is where is immediately
107209 Advanced Energy Pinnacle Plus 5k RF Generator Spares 1 as is where is immediately
107246 Advanced Energy RFX 600A RF Generator Spares 1 as is all rebuilt immediately
108719 ADVANCED ENERGY MDX Magnetron Drive p/n 2011-000-D spares 1 as is where is immediately
109308 Advanced Energy MDX-L12 RF GENERATOR Spares 1 as is where is immediately
109310 Advanced Energy MDX-L6 RF GENERATOR Spares 1 as is where is immediately
109331 Advanced Energy PDX-1250 RF GENERATOR Spares 1 as is where is immediately
109333 Advanced Energy PDX-900-2V RF GENERATOR Spares 7 as is where is immediately
109334 Advanced Energy PDX-900-2V RF GENERATOR Spares 6 as is where is immediately
109335 Advanced Energy PDX-900-2V RF GENERATOR Spares 3 as is where is immediately
109336 Advanced Energy PDX-900-2V RF GENERATOR Spares 9 as is where is immediately
109337 Advanced Energy PDX-900-2V RF GENERATOR Spares 1 as is where is immediately
109655 Advanced Energy AZX 10 Match SPARES 1 as is where is immediately
109846 Advanced Energy RFG 3000 Generator SPARES 1 as is all rebuilt immediately
109876 Advanced Energy RFX II 3000 Generator SPARES 1 as is all rebuilt immediately
110680 Advanced Energy Paramount 3013 RF generators 7 as is all rebuilt immediately
77166 Advantech IPC-5475 data acquisition card Spares 3 as is where is immediately
77167 Advantech HK-6323 data acquisition card ISA Spares 2 as is where is immediately
77206 Advantech PCL-745B data acquisition card 2-port RS422/485 Rev B1 Spares 1 as is where is immediately
77207 Advantech PCL-730 data acquisition card 32ch TTL DIO Spares 1 as is where is immediately
76604 Advantest TR6846 Digital Multimeter Electronics test and measurement 1 as is where is immediately
78639 Advantest T5335P (Spares) Spare Boards from test system (See attached list for details) TEST 01.11.1997 1 as is where is immediately
80194 Advantest BGR-020773 ADVANTEST T5335P PC Board Spares 7 as is where is immediately
80195 Advantest BGR-020853 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 as is where is immediately
80197 Advantest BGR-020774 rev x2 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80198 Advantest BGR-020814 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80199 Advantest BGR-020771 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80200 Advantest BGR-020509 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80201 Advantest BGR-020772 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80203 Advantest BGR-019486 ADVANTEST T5335P PC Board Spares 11 as is where is immediately
80204 Advantest BGR-020851 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80205 Advantest BGR-017578 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80206 Advantest BGR-017579 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80207 Advantest BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3 Spares 6 as is where is immediately
80208 Advantest BGR-018931 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80209 Advantest BGR-016794 PGR-816794CC3 PC Board Spares 2 as is where is immediately
80210 Advantest BGR-016793 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80293 Advantest BGR-019267 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80294 Advantest BGR-020900 ADVANTEST T5335P PC Board Spares 4 as is where is immediately
80295 Advantest BGR-019266 ADVANTEST T5335P MRA I/F PC Board Spares 1 as is where is immediately
80296 Advantest BGR-017417 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80297 Advantest BGR-018824 Rev X03 ADVANTEST T5335P PC Board Spares 8 as is where is immediately
80298 Advantest BGR-018823 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80299 Advantest BGR-018822 ADVANTEST T5335P PC Board PGR-818822BB2 Spares 4 as is where is immediately
80300 Advantest BGR-018125 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80301 Advantest BGR-020815 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80302 Advantest BIR-021807 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
82926 Advantest WUN-H90554AIR ADVANTEST air control unit Spares 1 as is where is immediately
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 as is where is immediately
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board Spares 5 as is where is immediately
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83502 Advantest BGR-017418 ADVANTEST T5335P PC Board HV PPS Spares 2 as is where is immediately
83503 Advantest BGK-017719 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83504 Advantest BGK-011702 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83550 Advantest WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P Spares 2 as is where is immediately
87652 Advantest T5371 Test system (With a single test head ) Test 1 as is where is immediately
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) Spares 31.05.2005 1 as is where is immediately
92006 Advantest BGR-016796 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92007 Advantest BGR-018822 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92008 Advantest BGR-017418 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92009 Advantest T5335P Boards from an Advantest T5335P Test system spares 18 as is where is immediately
94490 Advantest T5375 Automated Test Equipment TEST 2 as is where is immediately
99379 ADVANTEST T5375 High-Speed Flash Memory tester TEST 1 as is where is immediately
99380 ADVANTEST T5771ES Automated Test Equipment for laboratory or office use TEST 1 as is where is immediately
99388 Advantest Versatest V4000 Automated Test Equipment for laboratory or office use TEST 01.06.2006 1 as is where is immediately
99389 Advantest V4000 Automated Test Equipment TEST 1 as is where is immediately
99390 Advantest V4000 Automated Test Equipment TEST 1 as is where is immediately
103812 Advantest T5335P Automated Test System test 1 as is where is immediately
108549 ADVANTEST T5382A1 Test System TEST 1 as is where is immediately
108550 ADVANTEST T5585 Test System 200 mm 1 as is where is immediately
108552 ADVANTEST M6541AD Test Handler 200 mm 01.12.2000 1 as is where is immediately
108553 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is immediately
109022 Advantest Versatest V6000 Test Engineering Workstation for Office or Laboratory Use Test 01.05.2008 1 as is where is immediately
109068 Advantest M6541AD Test handler with Cold Option TEST 1 inquire immediately
109120 Advantest V5000 Automated Test equipment TEST 01.06.2010 11 as is where is immediately
109538 ADVANTEST 93000 PS1600 Automated Test Equipment for SOC testing TEST 01.02.2020 1 as is where is immediately
109575 ADVANTEST T5377 Automated test Equipment Test 01.06.2010 6 as is where is immediately
109576 ADVANTEST T5593 Automated test Equipment Test 01.06.2004 1 as is where is immediately
109577 ADVANTEST T5585 Automated test Equipment Test 01.06.2001 1 as is where is immediately
110772 Advantest M6542AD TEST HANDLER WITH COLD OPTION TEST 1 inquire immediately
108993 Advantest / アドバンテスト BGR-018823 REV X03 PC BOARD FOR ADVANTEST T5335P Spares 1 immediately
107109 AE Hilight 136 RF Generator Spares 1 as is where is immediately
107110 AE Hilight 136 RF Generator Spares 1 as is where is immediately
107258 AE RFX II 3000 RF Generator Spares 1 as is all rebuilt immediately
81826 AEG 2A 400-100 H Power Driver Controller 2A Spares 31.12.2004 1 as is where is immediately
101035 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.03.2008 1 as is where is immediately
101036 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.05.2008 1 as is where is immediately
108555 Agilent 16702A LOGIC ANALISYS SYSYEM TEST 1 as is where is immediately
53031 Agilent / HP / Verigy GPIB IEEE488 Cable GPIB IEEE488 Cable spares 1 as is where is immediately
108897 Agilent / Verigy 4073B PARAMETRIC TEST SYSTEM TEST 01.05.2011 1 as is where is immediately
10544 Agilent / Verigy / Keysight 4261A LCR METER TEST 1 as is where is immediately
18868 Agilent / Verigy / Keysight 5335A Universal Frequency Counter Electronics Test and Measurement 1 as is where is immediately
18869 Agilent / Verigy / Keysight E4915A Crystal impedance LCR meter Electronics Test and Measurement 1 as is where is immediately
76605 Agilent / Verigy / Keysight 41501B SMU and Pulse Generator Expander 2 units TEST 01.05.2001 1 as is where is immediately
79588 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2007 1 as is where is immediately
79589 Agilent / Verigy / Keysight 1671G Logic Analyzer test 30.09.2008 1 as is where is immediately
80267 Air Products Precision Tool Manometer 1.5 Bar spares 9 as is where is immediately
80268 AIR PRODUCTS R-704-VCR PRESSURE REGULATORS TEST APSA46/2250 30.04.1993 2 as is where is immediately
83904 AIR PRODUCTS F-074-VCR PRESSURE REGULATOR 10-50L MIN HCL P/N: 101203-2 01.10.1989 1 as is where is immediately
108721 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof FACILITIES 1 as is where is immediately
111368 Aixtron G3 2600 Reactor 01.01.2007 1 as is where is immediately
108709 Akrion Goldfinger Velocity 4 Single wafer cleaning system 300 MM 01.06.2007 1 inquire immediately
108722 AKRION V2-SA.3200 Wet Process Station Including Tanks 150 mm 01.12.1996 1 as is where is immediately
108723 AKRION V2-HL.2000 Acid Wet Bench 150 mm 1 inquire immediately
84766 AKT NAR 1800/8 G6 ITO Vertical In-line Sputtering Machine for LCD / TFT panel G6 730 mm x 920 mm (G6) 30.11.2013 1 as is where is immediately
108712 AKT 1600 PECVD Gen 2 PECVD deposition system Gen 2 01.05.2005 1 inquire immediately
108724 Alcan Tech/Canon MAS-8000 Microwave Ashing System 200 mm 1 as is where is immediately
108725 ALCATEL 5150CP Turbo Molecular Vacuum Pump PUMP 2 as is where is immediately
109574 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 118 as is where is immediately
110754 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 5 as is where is immediately
108726 ALESSI REL-4500 Analytical Wafer Prober with 6" (dia.) Gold Plated Chuck 150 mm 1 inquire immediately
83564 Allen Bradley PanelView Plus 400 Operator Panel, Serial 1 as is where is immediately
83593 Allen Bradley Micro-Logix 1200 PLC Module 1 as is where is immediately
83595 Allen Bradley 1762-IF4 PLC 4-ch input module 1 as is where is immediately
100700 Alphasem DB 608-PRL Die Sort System Assembly 01.09.1996 1 as is where is immediately
108727 ALPHASEM Swissline 9006 (Spares) Automatic Epoxy Die Bonder, Parts Tool Only 200 mm 01.04.1993 1 as is where is immediately
83588 AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 Spares 1 as is where is immediately
83669 AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2 3 as is where is immediately
84551 AMAT 0041-61611 Retaining ring, Titan II, 8" *NEW* 1 as is where is immediately
86305 AMAT 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly 1 as is where is immediately
108978 AMAT 0010-36736 Heater 1 as is where is immediately
110785 AMAT 0195-01314 Dual zone ceramic heater 300mm 1 as is where is immediately
77153 AMI 885 Screen printer Squeegee box lot SMT 1 as is where is immediately
74261 AMI Presco ACL-TS Solar wafer picker tool 31.12.2006 1 as is where is immediately
77188 AMI Presco USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc. 156mm 1 as is where is immediately
77162 Anaheim Automation 23D306S Stepper motor 3.4VDC 2.9A Spares 1 as is where is immediately
84241 Anaheim Automation TM4500 AA4520 Stepper controller/driver board Spares 1 as is where is immediately
79884 ANDO AF9723 Eprom Gang programmer w/ RAM expansion Electronics Test and Measurement 01.01.1995 1 as is where is immediately
109070 Andre Deluxe Near Field Detection Receiver 0 as is where is immediately
84221 ANELVA 954-7700 Vacuum Feedthrough Spares 1 as is where is immediately
2669 Angelantoni T600 TU5 Large Clean-room Oven with internal blowers FACILITIES 01.07.1995 1 as is where is immediately
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers FACILITIES 31.05.1995 1 inquire immediately
34740 AP & S TwinStep-B H3P04 Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR 200 mm 01.10.2005 1 as is where is immediately
110711 AP & S AWP Fully automated Wet Bench 200 MM 01.06.1997 1 as is where is immediately
106883 APEX 5513 RF Generator Spares 01.06.2015 4 as is where is immediately
11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT 200 mm 01.06.1999 1 inquire immediately
70004 Applied Materials Baccini Misc parts For Baccini Solar Line - see attached list Spares 1 as is where is immediately
71931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE spares 01.06.1999 1 as is where is immediately
71932 Applied Materials 0020-20919 REV C COVER CVD POST spares 31.05.1999 1 as is where is immediately
71933 Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT spares 31.05.1999 1 as is where is immediately
83514 Applied Materials Opal 7830i Enhanced CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers 100 mm to 200 mm 01.05.1997 1 as is where is immediately
93032 Applied Materials CENTRIS DPS MESA Dry Etch, Twin 3chamber 300 MM 01.05.2010 1 as is where is immediately
97053 Applied Materials ENDURA2 Chamber only Amber-(Ti) chamber 300 mm 1 as is where is immediately
97054 Applied Materials ENDURA2 Chamber only ESIP chamber 300 mm 1 as is where is immediately
97057 Applied Materials ENDURA2 Chamber only MOALD (IMP Ti) Chamber 300 mm 1 as is where is immediately
97061 Applied Materials ENDURA2 Chamber Only WSI chamber only 300 mm 1 as is where is immediately
97062 Applied Materials ENDURA2 Chamber Only WSI chamber only 300 mm 1 as is where is immediately
101768 Applied Materials 0010-00557 REV A Heat Exchanger FACILITIES 31.08.1988 1 as is where is immediately
103515 Applied Materials Vantage RTP cluster tool with Server OS Type 300 mm 01.12.2014 1 as is where is immediately
105881 Applied Materials Reflexion GT CMP system with integrated cleaner 300 MM 01.05.2011 1 as is where is immediately
106065 Applied Materials Mirra ® 3400 Stand-Alone CMP System 200 mm 01.06.2001 1 as is all rebuilt immediately
106207 Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E Spares 01.06.1999 1 as is where is immediately
106208 Applied Materials 0040-13659 REV P1 BLOCK, MTG Spares 01.06.1999 1 as is where is immediately
106209 Applied Materials 0020-21089 SHIELD Spares 01.06.1999 1 as is where is immediately
106210 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1998 1 as is where is immediately
106211 Applied Materials 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR Spares 01.06.1999 1 as is where is immediately
106212 Applied Materials 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED Spares 01.06.1999 1 as is where is immediately
106213 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1999 2 as is where is immediately
106214 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 5 as is where is immediately
106215 Applied Materials 0020-20483 REV E HUB LAMP CORNER COVER Spares 01.06.1998 2 as is where is immediately
106216 Applied Materials 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER Spares 01.06.1999 1 as is where is immediately
106217 Applied Materials 0020-20523 COVER Spares 01.06.1999 1 as is where is immediately
106218 Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER Spares 01.06.1999 1 as is where is immediately
106219 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
106220 Applied Materials 0190-20015 REV D VALVE / MANIFOLD Spares 01.06.1998 1 as is where is immediately
106221 Applied Materials 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 2 as is where is immediately
106222 Applied Materials 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 1 as is where is immediately
106223 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
106224 Applied Materials 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 Spares 01.06.1999 3 as is where is immediately
106225 Applied Materials 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 Spares 01.06.1999 1 as is where is immediately
106226 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 1 as is where is immediately
106227 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
106228 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
106599 Applied Materials ENDURA 2 CHAMBER ONLY TXZ ALD chamber 300 mm 1 as is where is immediately
106633 Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type 300 mm 01.06.2004 1 as is where is immediately
106944 Applied Materials Endura 5500 (spare parts) Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts 150 mm 01.11.2001 1 as is where is immediately
106980 Applied Materials Reflexion CMP system 300 mm 1 inquire immediately
106981 Applied Materials Reflexion LK CMP system 300 mm 1 inquire immediately
106982 Applied Materials Mesa CMP cleaning system 300 mm 1 inquire immediately
106983 Applied Materials Desica CMP Cleaning system 300 mm 1 inquire immediately
106984 Applied Materials Kawasaki 4.0 Fab Interface Module 300 mm 1 inquire immediately
107327 Applied Materials Vectra Match RF Match Spares 1 inquire immediately
108037 Applied Materials Various Spare Parts Spare Parts for sale Spares 1 as is where is immediately
108150 Applied Materials PRODUCER SE CHAMBER PECVD TEOS Chamber Only 300 mm 1 as is where is immediately
108254 Applied Materials P5000 Mark 2 CVD system with 4 x DXZ chambers, Silane process 200 mm 01.06.2001 1 as is where is immediately
108337 Applied Materials Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch with qty 6 MESA 2 chambers 300 mm 1 as is where is immediately
108556 Applied Materials Uvision 600SP Brightfield Inspection System 300 mm 01.05.2008 1 as is where is immediately
108557 Applied Materials Centura EPI Epitaxial Deposition, reduced pressure, 2 chamber 300 mm 01.05.2002 1 as is where is immediately
108559 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2006 1 as is where is immediately
108560 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2018 1 as is where is immediately
108561 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2018 1 as is where is immediately
108699 Applied Materials Oasis HF Wafer cleaning system 300 MM 01.06.2006 1 as is where is immediately
108701 Applied Materials Centura 5200 High K CVD Process Chamber CVD Process Chamber 200 mm 1 as is where is immediately
108705 Applied Materials Centura 5200 MxP Chamber MxP Etching Chamber 200 mm 1 inquire immediately
108931 Applied Materials SEMVISION G5 MAX Defect Review SEM 300 mm 01.06.2011 1 as is where is immediately
109095 Applied Materials 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat 200 mm 1 as is where is immediately
109112 Applied Materials Verity 2 CD MEASUREMENT SEM 200 mm 01.06.2004 1 immediately
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
109541 Applied Materials Centura AP DPS 2 G5 Polysilicon etcher, 3 chamber 300 mm 01.08.2008 1 as is where is immediately
109542 Applied Materials Centura DPS II Advantedge POLY POLY ETCHER, 4 CHAMBER 300 mm 01.06.2010 1 as is where is immediately
109543 Applied Materials Centura AP DPS 2 Advantedge Mesa Polysilicon Etcher with 4 chambers 300 mm 01.08.2006 1 as is where is immediately
109544 Applied Materials Centura 5200 AP DPS2 Advantedge Carina Mesa Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS 300 mm 01.05.2013 1 as is where is immediately
109545 Applied Materials Centura AP DPS II Advantedge Polysilicon Etcher with 4 chambers 300 mm 01.06.2006 1 as is where is immediately
109549 Applied Materials Centura DPS2 AE Minos Poly Dry Etch with 2CH DPS2 and 2 CH Axiom 300 mm 01.06.2006 1 as is where is immediately
109582 Applied Materials P5000 CVD SiN Process with 2 x CVD chambers 150 MM 01.06.1989 1 as is where is immediately
109583 Applied Materials P5000 CVD TEOS Process with 2 x CVD chambers 150 MM 01.06.1989 1 as is where is immediately
110661 APPLIED MATERIALS UVISION 5 Bright Field Inspection 300 mm 01.06.2011 1 as is where is immediately
110684 Applied Materials Sting Dark Field Defect Inspection 300 mm 01.06.2009 1 as is where is immediately
110687 Applied Materials Aera 2 Photomask Inspection System 300 mm 01.06.2009 1 as is where is immediately
110701 Applied Materials P5000 Poly Etcher 150 mm 01.06.1995 2 as is where is immediately
110712 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1996 1 as is where is immediately
110713 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1999 1 as is where is immediately
110714 Applied Materials P5000 3 Chamber Dry Etch 150 MM 01.06.1995 1 as is where is immediately
110715 Applied Materials P5000 4 Chamber SiN CVD 150 MM 01.06.1993 1 as is where is immediately
110716 Applied Materials P5000 Mark 2 2 Chambers TEOS PECVD and 2 Chambers Dry Etch 150 MM 01.06.2000 1 as is where is immediately
110717 Applied Materials P5000 3 Chambers PECVD TEOS 150 MM 01.06.1996 1 as is where is immediately
110767 Applied Materials Mirra 3400 Ontrak CMP Polisher with Cleaning System 200 mm 01.05.1997 1 as is where is immediately
110802 Applied Materials Endura IMP Ti / TiN Physical Vapor Deposition System 200 mm 01.06.2000 1 as is where is immediately
111354 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 1 as is where is immediately
111355 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 01.06.2003 1 as is where is immediately
6536 Applied Materials ® 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 Spares 01.07.1994 1 as is where is immediately
6537 Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual Spares 01.04.1995 1 as is where is immediately
6538 Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995 Spares 01.01.1995 1 as is where is immediately
6539 Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 Spares 01.04.1996 1 as is where is immediately
6540 Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures Spares 01.03.1996 1 as is where is immediately
105851 Applied Materials ® 079-018-05 P5000 Mk II Functional description Practice exercises Spares 30.04.1995 1 as is where is immediately
105852 Applied Materials ® 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual Spares 30.06.1994 1 as is where is immediately
105853 Applied Materials ® 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3 Spares 30.04.1990 2 as is where is immediately
105854 Applied Materials ® 0230-20005 Endura Operations and Programming Training Course Student Workbook Spares 31.08.1993 1 as is where is immediately
105858 Applied Materials ® 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom 200 mm 31.05.1997 1 as is where is immediately
110617 Applied Materials ® 0190-33289 RTP CHAMBER LAMP spares 183 as is where is immediately
32217 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
83894 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
77168 Asco SC8210G004 Solenoid Valve, 1 In, Orifice 1 In, Brass Spares 1 as is where is immediately
83571 Asco SC8210G93 Solenoid Valve, Spares 1 as is where is immediately
81827 ASM 2890224-21 Converter DTC Spares 1 as is where is immediately
81828 ASM 2506556-21 Processor DTC PCB Spares 01.03.2010 1 as is where is immediately
98443 ASM MS896 LED sorter 100mm 10 as is where is immediately
98444 ASM WS896 LED sorter 100mm 10 as is where is immediately
106862 ASM A412 Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon 200 mm 01.01.2019 1 as is where is immediately
106884 ASM AS899 (Spare Parts) Spare Parts for Pick and Place Die Bonder Spares 01.06.2009 51 as is where is immediately
108256 ASM A400 Vertical furnace, wet oxide process 200 mm 01.06.2001 1 as is where is immediately
108713 ASM A400 HT Vertical Furnace Oxide/Poly 200 mm 01.06.2003 1 inquire immediately
109030 ASM AD810 Automatic Die Attach Tool Assembly 01.09.2017 1 as is where is immediately
109104 ASM AS899 (Spare Parts) Stock of Spare Parts for ASM Die Sorters / Pick and place Die Bonders Spares 01.06.2009 51 as is where is immediately
109117 ASM XP8 Dual Chamber PECVD system for Oxide and Nitride 300 mm 01.06.2010 2 as is where is immediately
110718 ASM A400 Vertical furnace,Poly process 200 MM 01.06.2002 1 as is where is immediately
111352 ASM Eagle XP5 Plasma-enhanced ALD system with 2 chambers for SiO and SIN 300 mm 01.06.2010 1 immediately
108151 ASML XT1400E 193 nm DUV SCANNER(ARF) 300 mm 01.06.2005 1 as is where is immediately
108918 ASML XT1250D ArF Lithography Scanner 300 mm 01.05.2004 1 as is where is immediately
109055 ASML Yieldstar S200B Wafer metrology system 300 mm 01.06.2011 1 as is where is immediately
109286 ASML Twinscan AT850B 193nm DUV Scanner 300 mm 01.11.2002 1 as is where is immediately
109551 ASML TWINSCAN XT1250D 193nm (ArF) Scanner - Cymer laser not included 300 mm 01.05.2004 1 as is where is immediately
110674 ASML PAS 5500/80B i line stepper 100-200 MM 01.06.1993 1 as is where is immediately
110685 ASML Yieldstar S100 Overlay measurement System 300 mm 01.06.2008 1 as is where is immediately
110703 ASML AT1100B Twinscan DUV Lithography exposure system 193 nm 200 mm and 300 mm 01.06.2001 1 as is where is immediately
110797 ASML Twinscan XT400G i LINE SCANNER 300 mm 1 as is where is immediately
110798 ASML Twinscan XT400F i LINE SCANNER 300 mm 1 as is where is immediately
110799 ASML Twinscan XT400F i LINE SCANNER 300 mm 1 as is where is immediately
111343 ASML XT-1900-Gi Immersion Lithography System 300 mm 01.06.2009 1 as is where is immediately
80315 Astec VS3-D8-D8-02 Power Supply 2000 watts 2 as is where is immediately
81873 Astec VS1-L3-02 (-335-CE) Power Supply 1500 watts Spares 1 as is where is immediately
81875 Astec VS3-D4-B4-22 (-447-ce) Power Supply I/P 115-230 VAC/15A O/P 15V DC 120A 2000 W Spares 01.06.1999 4 as is where is immediately
81876 Astec VS1-L5-02 (-452-ce) Power Supply 1 as is where is immediately
82176 Astec VS3-C2-C2-C2 Power Supply 2000 watts (-450-CE) 1 as is where is immediately
82227 Astec VS3-C2-C2-02 Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER Spares 01.06.2011 2 as is where is immediately
83556 Astec VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM Spares 1 as is where is immediately
83557 Astec VS1-L3-02 (-435-CE) Power Supply 1500 watts 1 as is where is immediately
83558 Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts SPARES 1 as is where is immediately
53270 Astec Powertec 9K2-300-372 Super Switcher Power Supply Spares 31.05.1994 2 as is where is immediately
84765 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
91571 ASYST SPARTAN EFEM Wafer Sorter 300 mm 01.05.2010 1 as is where is immediately
95404 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
101816 Asyst Isoport Wafer load port 300 mm 20 as is where is immediately
101817 Asyst Versaport pod opener 2200 VPO SMIF loader 200 mm 15 as is where is immediately
102551 Asyst e-charger N2 charge 200mm 2 as is where is immediately
106803 Asyst Versaport 2200 with shuttle and back plate SMIF loader 200mm 6 as is where is immediately
77184 Athena 16C-B-S-0-23-00 Temperature / Process controller 01.02.2012 1 as is where is immediately
98447 August 3DI-8000 wafer bump inspection 300 mm 1 as is where is immediately
98448 August NSX-95 2D Auto inspection system / Macro defect inspection system 200 mm 4 as is where is immediately
101818 August NSX-105 Wafer Bumping Inspection System / Macro defect inspection 200 mm 1 as is where is immediately
100347 Autec ATC-70B-RS Thermal Shock Tester 1 as is where is immediately
72111 Axcelis 17091650 SUPP RACK Spares 3 as is where is immediately
72120 Axcelis 17S2467 DISK Spares 1 as is where is immediately
94600 Axcelis 1214110 EMS Power Supply 10 – 60 LAMBDA SPARES 1 as is where is immediately
108700 Axcelis Fusion ES3 Asher 300 MM 01.02.2001 1 as is where is immediately
108901 Axcelis Rapid Cure320FC Radiator UV anneal System 300 mm 01.05.2007 1 as is where is immediately
108905 Axcelis RapidCure 320FC RPC 300 mm 01.12.2005 1 as is where is immediately
109067 Axcelis NV8250P Medium Current Implanter 200 mm 01.06.1995 1 as is where is immediately
109086 Axcelis NV-GSD-200E2 High Current Ion Implanter 150 mm 01.09.2004 1 as is where is immediately
109546 Axcelis Optima MD Medium Current Ion Implanter 300 mm 01.06.2006 1 as is where is immediately
56142 Baccini Screen printers Printers and Dryers 156 mm 01.06.2001 1 as is where is immediately
56145 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 156mm 01.06.2006 1 as is where is immediately
56146 Baccini Sorter 1 Cell Sorter 1 156 mm 01.06.2006 1 as is where is immediately
77009 Baccini Screen Printer 2 screen printer 156 mm 31.05.2001 1 as is where is immediately
77010 Baccini Screen Printer 3 screen printer 156 mm 31.10.2001 1 as is where is immediately
77012 Baccini Screen Printer 1 screen printer 156 mm 01.11.2001 1 as is where is immediately
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 156 mm 31.05.2007 1 as is where is immediately
77017 Baccini Cell electrical tester Electrical Cell tester 156 mm 31.05.2006 1 as is where is immediately
77018 Baccini Sorter 2 Cell Sorter 2 156 mm 01.06.2006 1 as is where is immediately
77019 Baccini Sorter - Furnace Output Cell Sorter - Furnace output 156 mm 01.06.2006 1 as is where is immediately
77020 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 156 mm 01.06.2006 1 as is where is immediately
77021 Baccini Dryer 1 Dryer 1 156 mm 31.10.2001 1 as is where is immediately
77022 Baccini Dryer 2 Dryer 1 156 mm 31.10.2001 1 as is where is immediately
98708 Baccini Furnace 1 Drying Furnace Solar 31.05.2008 1 as is where is immediately
98710 Baccini Test 1 Solar Cell Inspection Solar 31.05.2008 1 as is where is immediately
98711 Baccini Test 2 Icos Solar Cell Inspection Solar 31.05.2008 1 as is where is immediately
98712 Baccini Test 3 Automatic Cell Sorter Solar 31.05.2008 1 as is where is immediately
98713 Baccini Wafer Boats Spares for Baccini solar cell manufacturing line Solar 01.05.2008 100 as is where is immediately
98715 Baccini Printer 1 Screen Printer Solar 31.05.2008 1 as is where is immediately
98716 Baccini Printer 2 Screen Printer Solar 31.05.2008 1 as is where is immediately
98717 Baccini Printer 3 Screen Printer Solar 31.05.2008 1 as is where is immediately
100888 Baccini Furnace 3 Drying Furnace Solar 31.05.2008 1 as is where is immediately
103388 Baccini Oven 3 Unload Buffer FFF Unload buffer unit from Oven 3 and FFF loader Solar 31.05.2008 1 as is where is immediately
108703 Baccini Softline Screen printer Solar 01.06.2009 1 inquire immediately
83589 Beckhoff BK9000 Ethernet interface module Spares 1 as is where is immediately
83594 Beckhoff KL9050 PLC Module 1 as is where is immediately
84213 Beckhoff KL9020 Ethernet interface Spares 2 as is where is immediately
111381 Beijing Sevenstar Huachuang Electronics Co .,Ltd ,China L42500-4/ZM (SPARES) Quartz boat for Two Tube horizontal PECVD 156mm 01.06.2010 2 as is fob immediately
84379 BELDEN 8219 IC20 BRAID R-58A/U 1 as is where is immediately
98718 Berger PSS10 Pulsed Solar Simulator Solar 31.05.2008 1 as is where is immediately
77169 Bimba Ultran US-1737.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
77170 Bimba Ultran US-1734.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
110597 Binder FDL 115 Safety Drying Chamber for solvent, RT up to +300°C, Volume inside 115 Liter Facilities 1 as is where is immediately
108735 Bio-Rad QS-1200 FT-IR Spectrometer 100-200 mm 01.05.2004 1 as is where is immediately
108736 Bio-Rad QS-300 FT-IR Spectrometer 1 as is where is immediately
108737 BIORAD Q5 Overlay Metrology Tool 200 mm 2 as is where is immediately
106430 Blue M DCC 1406 E MP550 Blue M Oven 150 mm/200 mm 1 as is where is immediately
102643 BPS Cyberite Ion Beam Deposition Tool 125 mm 31.05.1999 1 inquire immediately
74209 Brooks 5850 MFC Mass flow controller spares 01.01.2009 2 as is where is immediately
83862 Brooks TT1ENR2-1 Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8 Spares 1 as is where is immediately
102553 Brooks DBM 2407 V2 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106804 Brooks ATR-8 robot alone LAM tool 300mm 1 as is where is immediately
106851 Brooks MAG 7 Wafer Handling Robot Spares 1 as is where is immediately
106892 Brooks MAG 7 Wafer Handling Robot qty 2 Spares 2 as is where is immediately
106948 Brooks Fixload 6M SMIF Load Port 300 mm 8 as is where is immediately
108739 Brooks Multitran 5 3 Axis Robot, Rebuilt spares 1 as is where is immediately
109073 Brooks ABM 205 Robot Spares 1 as is where is immediately
109078 Brooks Gemini 2 Vacuum back end (VBE) with equipment front end module (EFEM) 200-300 mm 01.06.2015 1 as is where is immediately
109079 Brooks 139750-77 Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM 200-300 mm 01.06.2015 1 as is where is immediately
109080 Brooks 241251-0007 Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065 200 mm and 300 mm 01.06.2015 1 as is where is immediately
110719 Brooks MTX2000/2 Wafer Sorter 300 MM 01.06.2001 1 as is where is immediately
110784 Brooks Magnatran 7 Wafer Handling Robot Spares 1 as is where is immediately
98449 Brooks / PRI ABM 405 single arm atmospheric wafer handling robot 200/300mm 3 as is where is immediately
98453 Brooks / PRI DBM 2406 Dual arm Atmospheric wafer handling robot 200/300mm 4 as is where is immediately
98456 Brooks / PRI Mag 8 Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001 300 mm 1 as is where is immediately
98457 Brooks / PRI PRE 200/200B wafer pre-aligner 200 mm 3 as is where is immediately
102555 Brooks / PRI ESC-200/212/212B/222/218 PRI robot controller( single arm robot) 150/200/300 10 as is where is immediately
106806 Brooks / PRI ABM 205 single arm atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106807 Brooks / PRI ABM/ATM 405 single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106808 Brooks / PRI ABM/ATM 407B single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106809 Brooks / PRI ATM 204/207 single arm atmospheric wafer handling robot 200/300mm 6 as is where is immediately
106810 Brooks / PRI ATM 305/307 single arm atmospheric wafer handling robot 200/300 mm 2 as is where is immediately
106812 Brooks / PRI DBM 2706 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106813 Brooks / PRI EDC-2400/2800 PRI robot controller(dual arm robot) 150/200/300 mm 5 as is where is immediately
106814 Brooks / PRI PRE 200/200B/201/201B wafer pre-aligner 200mm 2 as is where is immediately
106815 Brooks / PRI PRE 300/300B/301/301B wafer pre-aligner 300 mm 2 as is where is immediately
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 2 as is where is immediately
103822 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 1 inquire immediately
108740 BROOKS AUTOMATION ATM-305 3 Axis Robot, Refurbished spares 1 as is where is immediately
108042 Brooks etc Spare Parts Various Robots, RF generators and Rf Matches for sale Spares 1 as is where is immediately
109557 BRUKER Quantax 200 Energy Dispersive X- Ray Spectrometer Laboratory 1 as is where is immediately
110694 BRUKKER D8 Fabline MH Atomic Force Microscope 150 mm 01.06.2009 1 as is where is immediately
108702 BTU PV614 Fast Firing Furnace Solar 01.06.2013 1 as is where is immediately
84378 CABLE 3 as is where is immediately
110790 Cadence Protium S1 Hardware Emulation System 1 as is where is immediately
80256 CAJON SS-4-VC0 FITTINGS 13 as is where is immediately
80258 CAJON SS-8-VC0-4 FITTINGS 5 as is where is immediately
77154 Cam York ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM Spares 1 as is where is immediately
84244 Camloc RS-182 GAS SPRING Spares 2 as is where is immediately
52153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 200 mm 30.04.1999 1 as is where is immediately
52262 Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
52265 Canon video impedance adapter 5 channel video impedance adapter spares 30.06.1999 1 as is where is immediately
52338 Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52341 Canon BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52342 Canon BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52346 Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52347 Canon BH8-1938-01BG4-9386 BG8-2694 CD90-CD PCB assembly spares 1 as is where is immediately
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52360 Canon Zenith ZPS-250 Multiple voltage power supply 250Watts spares 30.06.1999 1 as is where is immediately
52365 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 2 as is where is immediately
52366 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52367 Canon Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52379 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52380 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52381 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52382 CANON FUJITU DENSO 4247-E924 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
52384 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
52446 Canon Fujitsu Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52447 CANON Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 01.06.1999 1 as is where is immediately
52448 CANON FUJITSU DENSO 4248-E922 2 channels power supply module for canon fpa 3000 series spares 30.06.1999 1 as is where is immediately
52450 Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
53020 CANON Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
53021 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
53023 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
53032 Canon Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53041 Canon spares Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53042 Canon FPA 3000 series Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53045 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 3 as is where is immediately
53046 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53047 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53049 Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 31.05.1999 1 as is where is immediately
53050 Canon BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series spares 1 as is where is immediately
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 spares 30.06.1999 1 as is where is immediately
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS spares 30.06.1999 1 as is where is immediately
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board spares 01.06.1999 1 as is where is immediately
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series spares 30.06.1995 1 as is where is immediately
53062 Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53063 Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa spares 30.06.1999 1 as is where is immediately
53066 Canon BG4-6365 PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 spares 30.04.1997 1 as is where is immediately
53074 Canon FPA 3000 series extended reticle library extended reticle library 6 inch 01.06.1998 1 as is where is immediately
80249 CANON BG4-7001 BH8-1837-01 PCB 1 as is where is immediately
80253 Canon FPA1550 MK4 (Spares) Targetting lenses for Canon Stepper qty 2 SPARES 31.05.1995 2 as is where is immediately
84412 CANON FPA 1550 Mark IV (Spares) Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4 150 mm 1 as is where is immediately
84774 Canon Chuck, 8 inch Wafer Chuck for EX3, EX4, i4, i5 200 mm 30.04.1999 1 as is where is immediately
95427 CANON FPA2500i3 i-Line Stepper 150 mm 01.05.1995 1 inquire immediately
95428 Canon FPA-2500i2 i Line Stepper 150mm 1 as is where is immediately
99884 Canon FPA-5000 ES3 Spare Parts 300 mm 1 as is where is immediately
102059 Canon FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 Various Spare Parts and lenses for Canon FPA-3000 Series spares 01.05.1998 27 as is where is immediately
103739 CANON BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS Spares 31.10.1996 1 as is where is immediately
103741 CANON ROCKY KS-112 SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS SPARES 31.10.1996 1 as is where is immediately
103800 Canon BG3-7842 STG CD4 PCB, for Canon FPA5000 ES3 scanner Spares 1 as is where is immediately
103804 Canon BG4-6466-000 ZMI 1000 A Board (Y) Spares 1 immediately
103826 Canon BG3-2428-000 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4) Spares 01.05.2000 1 inquire immediately
105904 Canon BG3-3822 DMP-PREAMP PCB Spares 01.05.2000 1 as is where is immediately
105905 Canon BG3-3823 DMP-DSP PCB Spares 01.05.2000 1 as is where is immediately
106020 Canon BG3-3868-000 MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+ Spares 01.06.1999 1 as is where is immediately
106205 Canon FPA3000 EX3 (Spares) Complete Illuminator Assembly Spares 01.06.1998 1 as is where is immediately
106229 Canon BG4-8595 FPA 3000 series, iA scope objective lens unit, RIGHT Spares 01.06.1998 1 as is where is immediately
106230 Canon BG4-8594 FPA 3000 SERIES iA scope objective lens unit, Left Spares 01.06.1998 1 as is where is immediately
106231 Canon BG4-85?? FPA 3000 series iA scope relay lens unit, Right Spares 01.06.1998 1 as is where is immediately
106232 Canon BG4-8599 FPA 3000 series iA scope shutter unit Spares 01.06.1998 1 as is where is immediately
106233 Canon FPA 3000 EX3/4/5/6 (Spares) FPA 3000 EX series iA scope lens unit Spares 01.06.1998 1 as is where is immediately
106234 Canon BG4-8601 Electro-Optical part for FPA 3000 EX series iA scope Spares 01.06.1998 1 as is where is immediately
106235 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Left) Spares 01.06.1998 1 as is where is immediately
106236 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Right) Spares 01.06.1998 1 as is where is immediately
106237 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Issoku FG199 lead screw Spares 01.06.1998 1 as is where is immediately
106238 Canon BG4-8597 Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 Spares 01.06.1998 1 as is where is immediately
108919 Canon FPA-6000 ES5 248 nm (KrF) excimer exposure system 300 mm 01.05.2003 1 as is where is immediately
108946 CANON FPA-5000 ES3 (Spare Parts) Spare Parts for Canon ES series DUV scanners Spares 12 as is where is immediately
108968 Canon FPA3000 EX3/ i5 (Spares) Masking Blade Assembly Spares 01.06.1998 1 as is where is immediately
109020 Canon FPA 5000 ES3 KrF Scanner (Scanner) 200 mm 01.05.2001 1 as is where is immediately
109091 Canon BG3-1045 REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
109092 Canon BG5-1262 MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
109098 Canon BY8-6168-4E0 Canon FPA3000 EX3 Command Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109099 Canon BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide Manual 01.06.1996 1 as is where is immediately
109100 Canon BY8-6168-1E0 Canon FPA3000 EX3 Operation Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109101 Canon BY8-6168-2E0 Canon FPA3000 EX3 Parameter Guide 1 - operational manual Manual 01.06.1996 1 as is where is immediately
109102 Canon BY8-6168-3E0 Canon FPA3000 EX3 Parameter Guide 2 - operational manual Manual 01.06.1996 1 as is where is immediately
109103 Canon BY8-6168-5E0 Canon FPA3000 EX3 Reticle Guide2 - operational manual Manual 01.06.1996 1 as is where is immediately
109105 Canon FPA 5500 iZ i-line stepper 300 mm 01.06.2001 1 as is where is immediately
108976 Cascade Summit 12000 Semi-automatic probe station with Shield Box, Temptronic thermal chuck -65C to 200 C 200 mm 01.06.2005 1 as is where is immediately
109579 Cascade Microtech Summit 11000M Prober 200 mm 1 as is where is immediately
110700 Cascade Microtech 12000B-HS Prober 150 mm 1 as is where is immediately
83551 Celerity UFC-1660 MFC C2F6 5SLPM 01.08.2009 1 as is where is immediately
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer Solar 01.05.2001 1 as is where is immediately
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 156 mm 01.05.2003 1 as is where is immediately
77190 Centrotherm Quartz Door Centrotherm/semco(?) Quartz Door, new 156mm 1 as is where is immediately
98721 Centrotherm E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping Solar 01.05.2008 1 as is where is immediately
98722 Centrotherm E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD Up to 300 mm 01.05.2008 1 as is where is immediately
98725 Centrotherm Loader Furnace Loader Solar 31.05.2008 1 as is where is immediately
103801 Centrotherm DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) Solar 01.05.2008 1 as is where is immediately
83874 CKD M4SB080-M5 AHM-850 SOLENOID VALVE 5 as is where is immediately
83870 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 0 as is where is immediately
83871 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 1 as is where is immediately
103731 CKPlas TS-MT510-J, TS-MT610-J Transfer Solar Wafer Cassette Solar 1 as is where is immediately
21122 CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE FACILITIES 1 as is where is immediately
80083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION Laboratory 01.06.2000 1 as is where is immediately
107379 Comdel CLX 600 RF Generator Spares 1 as is where is immediately
109373 COMDEL CLX-1000 RF GENERATOR Spares 1 as is where is immediately
109376 COMDEL CLX-1250 RF GENERATOR Spares 3 as is where is immediately
109377 COMDEL CLX-2500 RF GENERATOR Spares 2 as is where is immediately
109378 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109379 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109380 COMDEL CLX-2500 RF GENERATOR Spares 3 as is where is immediately
109381 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109382 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109383 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
110670 Complete G5.5 Flat Panel Display Production line 1300mm x 1500mm 01.06.2004 1021 as is where is immediately
110671 Complete G6 Flat Panel Display Production line 1500mm x 1850mm 01.06.2006 920 as is where is immediately
110672 Complete G6 Flat Panel Display Production line 1500mm x 1850mm 01.06.2005 1 as is where is immediately
53025 COMPUMOTOR M57-51 Lead screw spares 1 as is where is immediately
82179 Concoa 806 6530 Singe stage Oxygen regulator valve w/ guage 1 as is where is immediately
21665 CONDOR HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN SPARES 31.01.1996 1 as is where is immediately
84021 Condor B24-1.2 dc power supply 1 as is where is immediately
108741 Contamination Control Desiccator boxes, 10ea Available Facilities 10 as is where is immediately
99395 Convac CBA-M-2000-U Photoresist coater 01.01.1995 1 as is where is immediately
13143 COSEL MMC100-2 POWER SUPPLY SPARES 2 as is where is immediately
109533 Creative Design Engineering (CDE) ResMap 178 Resistivity Mapping System Up to 200 mm 01.06.2012 1 as is where is immediately
78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM test 1 as is where is immediately
80211 Credence Duo SX (Spare Parts) Credence Duo SX Main PC Spares 01.01.1999 2 as is where is immediately
80269 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD Spares 01.07.1999 1 as is where is immediately
80270 Credence 671-4331-01 DUO SX ROM Sequencer PCB SPARES 01.07.1999 1 as is where is immediately
80271 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80272 Credence 671-0693-04 Duo SX DMA2 Controller PCB spares 01.05.1999 1 as is where is immediately
80273 Credence 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80274 Credence 671-4098-952707 DUO SX Master Clock Dist. 01.10.1999 1 as is where is immediately
80311 Credence 671-4127-00 Scan Memory 01.01.1999 15 as is where is immediately
80312 Credence 671-4359-00 DPAC Parallel Pattern Memory Spares 01.01.1999 35 as is where is immediately
80314 Credence 672-6051-03 Support Module Interface PCB for a Credence Duo tester Spares 01.01.1999 4 as is where is immediately
80316 Credence 671-4270-03 Capture Processor 01.01.1999 2 as is where is immediately
80317 Credence 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester Spares 7 as is where is immediately
80318 Credence 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester Spares 01.06.1997 1 as is where is immediately
80320 Credence 671-4351-00 Intersegment Communications Connector 01.01.1999 1 as is where is immediately
81829 Credence 202-2000-00 Credence Duo SX controller 01.06.1999 1 as is where is immediately
81864 Credence 671-4098-02 DUO SX Master Clock Dist. PCB Spares 01.02.1999 1 as is where is immediately
81866 Credence 671-4635-01 Testhead connector PCB board, from a Credence Duo SX test system Spares 01.02.2007 1 as is where is immediately
82168 Credence 671-1461-04 Intersegment communications board Spares 01.07.1999 1 as is where is immediately
83559 Credence 671-4276-50 PIN card FOR CREDENCE DUO SX TESTER Spares 01.01.1999 3 as is where is immediately
83631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual MANUAL 01.01.1997 1 as is where is immediately
83819 Credence 671-4359-01 DPAC Parallel Pattern Memory PCB Spares 01.01.1999 1 as is where is immediately
84099 Credence 671-1376-02 Analog Power Monitor PCB 584-02 Spares 01.07.1999 1 as is where is immediately
84278 Credence 671-4394-01 Test head connector board Spares 01.01.1999 2 as is where is immediately
84279 Credence 671-4270-02 Capture Processor board 1A Spares 01.01.1999 1 as is where is immediately
84280 Credence 671-4394-04 Test head connector board Spares 01.01.1999 1 as is where is immediately
84281 Credence 672-6051-01 Support Module Interface 01.01.1999 1 as is where is immediately
87089 Credence Personal Kalos I Test system TEST 31.10.2002 1 as is where is immediately
106924 Credence 671-4359-00 REV 3 DPAC Parallel Pattern Memory SPARES 01.07.1999 18 as is where is immediately
106925 Credence 671-4177-04 Support Module Interface PCB spares 01.08.1998 2 as is where is immediately
106926 Credence 671-4351-00 rev A Intersegment Communications Connector Spares 01.01.1999 1 as is where is immediately
106927 Credence 671-2119-00 Analog Support Air flow / Bus Grant Spares 8 as is where is immediately
106928 Credence 671-4270-02 REV A Capture Processor PCB 1A Spares 01.01.1999 2 as is where is immediately
106929 Credence 671-4270-03 rev A Capture Processor 1a pcb Spares 01.01.1999 2 as is where is immediately
106931 Credence 671-4098-952707 DUO SX Master Clock Dist. PCB spares 01.10.1999 1 as is where is immediately
106932 Credence 671-4283-01 REV 4 Duo SX ROM Sequencer TPI/PD PCB SPARES 01.07.1999 1 as is where is immediately
106933 Credence 671-4331-01 DUO SX ROM Sequencer PCB Spares 01.07.1999 1 as is where is immediately
106934 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
106935 Credence 671-0693-04 Duo SX DMA2 Controller PCB Spares 01.05.1999 2 as is where is immediately
106936 Credence 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
106937 Credence 671-0951-04 DUO SX Analog I/O Board Spares 01.01.1999 3 as is where is immediately
106938 Credence 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER Spares 01.01.1999 4 as is where is immediately
106939 Credence 671-4394-05 REV A Test head connector PCB Spares 01.11.2005 1 as is where is immediately
106940 Credence 672-6051-01 Support Module Interface tpi / td pcb Spares 01.07.1999 1 as is where is immediately
54562 CTI cryogenics CRYOTORR-100 Cryopump PUMP 31.05.1997 1 as is where is immediately
69855 CTI Cryogenics Cryotorr 100 Cryopump pump 31.05.1997 1 as is where is immediately
94588 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is immediately
109081 CTI Cryogenics 9600 CRYOGENIC COMPRESSOR Facilities 01.06.2015 1 as is where is immediately
110749 CTI Cryogenics 8033168 High torr vacuum pump PUMP 1 as is where is immediately
106521 Custom Polypropylene 3 ft Bench 3ft Develop Hood Positive Resist Batch 150 mm/200 mm 1 as is where is immediately
106523 Custom S-Cubed Spin Coat Bake System Clamshell 1 as is where is immediately
4267 CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL Spares 01.03.1998 1 as is where is immediately
83892 CVC GTC-036 TERMOCOUPLE GAUGE TUBE 3 as is where is immediately
52159 Cymer ELS 4300 Maintenance Tool kit and spare parts SPARES 01.06.1998 1 as is where is immediately
106018 Cymer 01-13500-07 Paddle for Cymer 4300 laser Spares 30.06.1996 1 as is where is immediately
108963 Cymer XLA 160+/165 193 nm excimer laser Facilities 1 as is where is immediately
110753 CYMER ELS-6400 248 NM DUV Excimer Laser Facilities 01.06.2002 1 as is where is immediately
69789 Dage 4000-HK-5-2 160-OT12-PTP-02 PULL TEST hook Spares 1 as is where is immediately
106447 Dage 4000 DAGE 4000 SHEAR TESTER 150 mm/200 mm 01.06.2000 1 as is where is immediately
106448 Dage 4000 OPS DAGE 4000 SHEAR TESTER w/computer 150 mm/200 mm 1 as is where is immediately
108642 Dage 4000 Bond Pull tester Assembly 01.06.2006 1 as is where is immediately
108744 DAGE BT24 Ball/Bump Shear Tester ASSEMBLY 01.05.1994 1 as is where is immediately
108745 DAGE BT23-PC Die Shear Tester with LC200 Die Shear Load Cell Assembly 01.05.1996 1 as is where is immediately
108746 DAGE 2400PC Wire pull tester Assembly 1 as is where is immediately
110038 Daihen Daihen Match Match SPARES 1 as is where is immediately
110039 Daihen Daihen Match Match SPARES 1 as is where is immediately
91136 Daitron CVP-320 Wafer Edge Grinder 300 mm 01.11.2008 1 as is where is immediately
106482 Datacon CS1250 Die Pick and Sort to tape reel 150 mm/200 mm/300 MM 1 as is where is immediately
110720 Datacon 2200 apm MultiChip Die Bonder 300 MM 01.06.2007 1 as is where is immediately
80176 Dayton 4C446 Blower motor 115v 01.01.2012 1 as is where is immediately
109032 DDM Novastar 1800HT Reflow Oven SMT 1 as is where is immediately
109040 DEK Horizon 03iX Screen Printer SMT 01.12.2018 3 as is where is immediately
110675 Dektak 3ST Stylus Profileometer 150 mm 1 as is all rebuilt immediately
84375 DELL PWB 9578D GX B-V0A 94V-0 31.03.1999 1 as is where is immediately
77204 Delta ?? Impulse Driver Board, 5000V, 3A, for PECVD 01.01.2009 1 as is where is immediately
106450 Delta 4CJ Photoresist Coater 150 mm/200 mm 01.02.2005 1 as is where is immediately
106451 Delta 5AQ Positive Photoresist Developer 200 mm 01.06.2005 1 as is where is immediately
106456 Delta Custom DI Wafer Cleaner 150 mm/200 mm 01.06.2005 1 as is where is immediately
106458 Delta CUSTOM DI Wafer Cleaner 150 mm/200 mm 1 as is where is immediately
110594 Delvotec 4500/Siplace A2 Die Bonder Assembly 01.06.2006 1 as is all rebuilt immediately
110595 Delvotec 6200 Gold Ball Wire Bonder Assembly 01.06.2007 1 inquire immediately
111398 Delvotec 4500/Siplace A2 Die Bonder Assembly 01.06.2006 1 as is all rebuilt immediately
83627 DENSAN DSB-S17 OUTPUT BOARD SDB-S17 2 as is where is immediately
77186 Despatch KK33UH-009-00-4,MC Thermocouple sensor probe spares 1 as is where is immediately
77187 Despatch 164700 Thermocouple Spares 1 as is where is immediately
108995 Despatch CDF 7210 (SPARES) 55 cm length of furnace belt spares 1 as is where is immediately
81837 Deublin 55-000-003 Deublin, Rotary Union 5/8" NEW Spares 1 as is where is immediately
110599 Diener Tetra 30LF PC Plasma Surface Treatment Machine Assembly 01.05.2016 1 as is where is immediately
76954 Dimetric JGT-10F Relay 380V 80A spares 4 as is where is immediately
90089 DISCO DFL7160 WBL LASER SAW (DAF Type) 300 mm 01.05.2012 1 as is where is immediately
106461 Disco DFD6361 DICING SAW 150-200 mm 01.11.2009 1 as is where is immediately
106462 Disco DFD6362 DICING SAW 150 mm/200 mm 01.05.2016 1 as is where is immediately
106463 Disco DFD651 8" Dual Spindle Automated DICING Saw 150 mm/200 mm 01.06.1995 1 as is where is immediately
106464 Disco DFD651 8 inch Dual Spindle Dicing Saw 150 mm/200 mm 01.06.2000 1 as is where is immediately
106816 Disco DAD 321 Automatic wafer dicing saw 150 mm 01.05.2001 1 as is where is immediately
108951 Disco DSC 141 After Sawing Cleaner 1 as is where is immediately
109110 Disco DAD 3350 Automatic Dicing Saw 200 mm 01.01.2008 5 as is where is immediately
109111 Disco DFD 6240 Fully automatic dicing saw 200 mm 01.06.2007 1 as is where is immediately
110721 Disco DFG 850 Wafer Back Grinder 200 MM 01.06.2000 1 as is where is immediately
110722 Disco DFG 850 Wafer Back Grinder 200 MM 01.06.2004 1 as is where is immediately
110764 Disco DFL7340 Laser Saw 200 mm 01.06.2011 1 as is where is immediately
110800 Disco DGP8761 with DFM2800 Wafer Back Grinder with Wafer Taper 300 mm 01.06.2012 1 inquire immediately
111361 Disco DAD 3350 Scriber & Dicer 1 as is where is immediately
111369 Disco DCS1440 Wafer & Mask Scrubber 1 as is where is immediately
93378 DNS WS820L Wet Bench (Porous Silicon Process) 200 mm 01.08.2011 1 as is where is immediately
98268 DNS SS-3100 WET Scrubber 300 mm 31.05.2012 1 inquire immediately
105871 DNS 2-39-06099 NISSHIN VACUUM gauge 0-76 cmHg spares 1 as is where is immediately
105872 DNS 2-39-06106 NISSHIN pressure gauge 0-0.5 kgf cm2 spares 1 as is where is immediately
106022 DNS SK 2000 BVPE COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS 200 mm 01.09.2003 1 as is where is immediately
106023 DNS SK 2000 BVPE Photoresist coater and developer - 2 ct - 2 bct - 4 dev 200 mm 01.03.2004 1 as is where is immediately
108898 DNS AS2000 Oxide Wafer scrubbing system 200 mm 01.08.2000 1 as is where is immediately
109567 DNS SS-3000-AR Batch Wafer Cleaner 300 mm 01.05.2007 1 as is where is immediately
106817 DNS / Sokuda RF3S Photoresist coater and developer( 5C5D) track 300 mm 1 as is where is immediately
108220 DNS / SOKUDO RF3 Photoresist Coater and Developer Track 300 mm 01.06.2019 1 inquire immediately
111362 DNS Dai Nippon Screen CW2000 Wet stations 1 as is where is immediately
83876 DOMNICK HUNTER QR 010 REV.4.0 FILTER 30.09.2007 2 as is where is immediately
107440 Dressler VM 1000 A RF Match Spares 1 as is where is immediately
107444 Dressler VM 700 A RF Match Spares 1 as is where is immediately
83568 Driver board 005843 Stepper motor driver board Spares 1 as is where is immediately
105864 DWYER RMA-10-SSV AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI Spares 1 as is where is immediately
70304 EBARA 30024-02 RING, ONE PIECE EBARA FREX 200 SPARES 1 as is where is immediately
70305 EBARA C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 SPARES 1 as is where is immediately
70306 Ebara FREX 200 (Spares) PRESSURE SENSITIVE VALVE FOR EBARA FREX 200 SPARES 2 as is where is immediately
77147 Ebara Ebanet 1250 AD Communications module for dry pumps facilities 1 as is where is immediately
79394 Ebara A30W Vacuum Pump Pump 1 as is where is immediately
79395 Ebara A30W Vacuum Pump Pump 1 as is where is immediately
98460 Ebara Frex 300 Tungsten CMP tool 300 mm 1 as is where is immediately
98461 Ebara Frex 300 STI CMP ( missing front end robot and load port) 300 mm 1 as is where is immediately
106174 Ebara AAS70WN Dry pump 01.01.2004 8 as is where is immediately
110757 Ebara FREX 300 Tungsten CMP system 300 mm 2 as is where is immediately
110796 Ebara FREX 300 Tungsten CMP tool 300 mm 01.05.2005 2 as is where is immediately
106466 ECI QL-10-EX PLATING BATH ANALYSER Facilities 1 as is where is immediately
107007 ECO Snow VersaClean 1200 Mask / Substrate cleaner 150 mm 01.10.2012 1 as is where is immediately
80001 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80029 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven Reliability 1 as is where is immediately
80030 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80031 EDA Industries PCBA 05431 DRIVER module for BIB oven Reliability 6 as is where is immediately
80032 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD Reliability 1 as is where is immediately
80040 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80041 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80042 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80045 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80080 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven Reliability 1 as is where is immediately
80081 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80082 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80084 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80033 EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). Reliability 256 as is where is immediately
106200 EDAX Eagle 2 Micro-probe EDX analyser Laboratory 01.06.2000 1 as is where is immediately
11233 EDWARDS GVI 100P Gate valve SPARES 1 as is where is immediately
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 3 as is where is immediately
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 1 as is where is immediately
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 1 as is where is immediately
54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump 31.05.2000 1 as is where is immediately
54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump 31.05.2000 1 as is where is immediately
54222 Edwards QDP80 Dry Vacuum Pump pump 31.05.2000 1 as is where is immediately
72127 Edwards Speedivalve SPEEDI VALVE Spares 1 as is where is immediately
72128 Edwards 040020030 Cable, motor drive, 5M seiko Spares 1 as is where is immediately
77209 Edwards Flange Edwards pump flange 5 1/8" OD 4" ID 1 as is where is immediately
82180 Edwards Flange Edwards pump flange 10 7/8" i.d. 11 3/8" O.D. 1 as is where is immediately
83582 EDWARDS ETM63X PLASMA HEATING CONTROL UNIT 1 as is where is immediately
95559 Edwards iQDP40 Dry Mechanical Pump Pump 01.05.2000 1 as is where is immediately
106919 Edwards STP-A1303C TURBOMOLECULAR PUMP PUMP 01.06.2002 1 as is where is immediately
106972 Edwards QDP80 Drystar Dry Vacuum pump with power box pump 01.06.1995 1 as is where is immediately
106973 Edwards QDP80 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
106974 Edwards QDP40 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
106975 Edwards QDP80 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
108564 Edwards EPX500NE Mechanical Vacuum Pump Pump 1 as is where is immediately
109572 EDWARDS iH1000 Mark 5 Dry vacuum Pump PUMP 50 as is where is immediately
109573 EDWARDS iH1800 Mark 5 Dry vacuum Pump PUMP 35 as is where is immediately
69878 Edwards / Seiko Seiki STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 VACUUM PUMP 01.10.1999 1 as is where is immediately
108041 EDWARDS. ETC. Vacuum Pumps Various Vacuum Pumps, Tubo Pumps, Controllers for sale Spares 1 as is where is immediately
79521 Efector 500 Pressure sensor switch, programmable 01.01.2001 1 as is where is immediately
15658 ELCO MMC50-1 POWER SUPPLY 1 as is where is immediately
83636 ELCO CO. LTD K50A-15 POWER SUPPLY 15V3.4A 3 as is where is immediately
83639 ELCO CO. LTD K SERIES POWER SUPPLY K100 A-12 0 as is where is immediately
78132 Electroglas Horizon 4085X Fully Automatic Prober with Optem microscope and an inker 125 mm, 150 mm and 200 mm 01.01.1998 1 as is where is immediately
91592 ELECTROGLAS EG5/300 A Prober 300 mm 31.12.2004 1 as is where is immediately
99387 ELES ART 200 Debug Station for Reliability Test System RELIABILITY 1 as is where is immediately
79596 Elind KL 1200W Laboratory Power supply Electronics Test and Measurement 01.05.2000 8 as is where is immediately
79885 Elind 3232 Laboratory power supply 0-3,2A current adj - 0 - 32 V voltage adj Electronics Test and Measurement 1 as is where is immediately
79887 Elind 328 Laboratory power supply 0-0,8A current adj - 0 - 32 V voltage adj Lab Equipment 01.05.1995 1 as is where is immediately
80368 ENI OEM-6J RF GENERATOR SPARES 31.05.1995 1 as is where is immediately
83625 ENI HF-3000-50 HARMONIC FILTER ASSY 28.02.1994 1 as is where is immediately
106175 ENI OEM-2000-01M1 RF GENERATOR SPARES 1 as is where is immediately
107466 ENI ACG-3B RF Generator Spares 1 as is where is immediately
107546 ENI MWH-100 RF Match Spares 1 as is where is immediately
107580 ENI OEM-12A XL RF Generator Spares 1 as is where is immediately
107642 ENI POD Interface Box Miscellaneous Spares 1 as is where is immediately
107647 ENI RFC-5MW Miscellaneous Spares 1 as is where is immediately
108567 ENI RFC-6-03 RF Generator SPARES 01.07.2006 1 as is where is immediately
109432 ENI OEM-12B RF GENERATOR Spares 3 as is where is immediately
110181 ENI MWH-100 Match SPARES 1 as is where is immediately
77091 Enidine MB21539 Shock Absorber Spares 1 as is where is immediately
83513 Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet Facilities 01.07.2010 1 as is where is immediately
108955 entegris PH9150 Wafer Transportation Box 6" - CLEANED Spares 150 MM 26 as is where is immediately
108957 Entegris Box 2" Wafer Transportation Box 2" SPARES 50 MM 330 as is where is immediately
108958 Entegris A72-40MB-0215 Teflon Carrier 4" SPARES 100 MM 57 as is where is immediately
108959 Entegris PH9100 Wafer Transportation Box 4" SPARES 100 MM 29 as is where is immediately
106467 EO Technic CSM-2000 CHIP SCALE LASER MARKER 150 mm/200 mm 01.06.2002 1 as is where is immediately
98462 EO TECHNICS CSM 3000 Chip Scale laser marker 200 mm/300 mm 01.06.2005 1 as is where is immediately
106469 EO Technics CSM2000 CHIP SCALE LASER MARKER 150 mm/200 mm 1 as is where is immediately
106470 EO TECHNICS CSM2000 CHIP SCALE LASER MARKER 200 mm 01.06.2005 1 as is where is immediately
108641 EO TECHNICS CSM 2000 Chip scale laser wafer marker 150 mm/200 mm 01.06.2006 1 as is where is immediately
84090 ERACOND X3 MINIATURE AIR CYLINDER 1 as is where is immediately
84080 ERACOND Z3 M20 PD 50 MINIATUR AIR CYLINDER 1 as is where is immediately
82286 ESA ET5200LX2000 Touch Screen Computer spares 01.10.2007 1 as is where is immediately
100704 ESEC 3018 Gold Ball Bonder Assembly 1 as is where is immediately
100705 ESEC 3088 Gold Ball Bonder Assembly 1 as is where is immediately
110779 ESEC 2006HR Die Bonder, with Eutectic bonding capability Assembly 1 inquire immediately
83739 ESI 44 COMPLETE SET OF LASER TRIMMER SPARE PCBS AND PARTS SPARES 27 as is where is immediately
83796 ESI 29286 ESI pcb Servo Preamp Spares 1 as is where is immediately
83797 ESI 29282 ESI pcb Transducer Preamp Spares 1 as is where is immediately
83798 ESI 43175 4 phase encoder logic assy Spares 1 as is where is immediately
83799 ESI 929284 MAX Velocity control board Spares 1 as is where is immediately
83801 ESI 40898 ESI pcb Interrupt control pcb Spares 1 as is where is immediately
83802 ESI 42328 ESI pcb Precharged Servo Preamp Spares 1 as is where is immediately
83803 ESI 29278 ESI pcb Power Amplifier driver for ESI 44 fuser Spares 1 as is where is immediately
83804 ESI 29800 Driver power supply 1 as is where is immediately
83813 ESI 41751 Transducer Buffer PCB for ESI model 44 laser fuser Spares 2 as is where is immediately
83814 ESI 42251 Approach Control PCB for ESI 44 Spares 1 as is where is immediately
83815 ESI 29292 ESI pcb Position Encoder Logic for ESI 44 fuser Spares 1 as is where is immediately
83816 ESI 42119 ESI pcb 4 Phase Control Module 1 as is where is immediately
83817 ESI 42253 ESI pcb Power Amplifier driver for ESI 44 fuser Spares 1 as is where is immediately
83818 ESI 42252 ESI pcb Acceleration Control Assy for ESI 44 fuser Spares 1 as is where is immediately
83820 ESI 24971 Manual Functions PC Board FOR ESI MODEL 44 LASER TRIMMER Spares 1 as is where is immediately
83822 ESI 41207 IEEE 488 Interface FOR ESI 44 LASER TRIMMER SPARES 1 as is where is immediately
83857 ESI 48503 Linear motor/Laser Control PC Board FROM ESI MODEL 44 TRIMMER Spares 2 as is where is immediately
83858 ESI 24961 Extender Board PC Board w/ cables FOR ESI 44 LASER TRIMMER Spares 2 as is where is immediately
83859 ESI 42356 S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER Spares 1 as is where is immediately
83860 ESI 24955 Scanner Module PC Board for ESI 44 fuser spares 3 as is where is immediately
83936 ESI 41506 Bridge Calibrator PCB for ESI 44 LASER TRIMMER Spares 1 as is where is immediately
84210 ESI Power Assy Power supply assy, ESI 44/4400 1 as is where is immediately
110755 ESI HD9830 Laser Fuser 3 as is where is immediately
110758 ESI 9350 Laser Repair System 200 mm 01.06.2005 1 as is where is immediately
110756 ESI ELECTRO SCIENTIFIC INDUSTRIES 9825 Laser Repair System 300 mm and 200 mm 01.10.2003 10 as is where is immediately
79520 Eurotherm 2408f Temperature controller 01.01.2001 3 as is where is immediately
101819 EVG 820 Mold Carrier Lamination System 200 mm 1 as is where is immediately
109581 EVG 101 Photoresist coater and developer 200 mm 01.05.2008 1 as is where is immediately
110746 EVG Gemini Wafer Bonding system - permanent bonding system 150 mm 01.06.2015 5 as is where is immediately
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer Facilities 31.05.2004 1 as is where is immediately
108748 FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station 150 mm 1 as is where is immediately
108026 FEI CLM 3D Fully Automated Dual Beam FIB-SEM 300 mm 01.04.2012 1 as is where is immediately
108932 FEI ExSolve CLM Next Gen High Accuracy FIB SEM 300 mm 01.06.2016 2 as is where is immediately
110678 FEI Helios 1200+ Dual beam FIB SEM 300 mm 01.06.2011 1 as is where is immediately
111383 FEI Quanta 200 3D Dual-Beam FIB SEM with Omniprobe, LMIS, BSD Laboratory 01.06.2005 1 as is where is immediately
106009 FEI Company FIB 200 Single Beam FIB Laboratory 01.06.2003 1 as is where is immediately
110704 FEI Company 820 Dual beam FIB SEM Laboratory 1 as is where is immediately
80169 Festo 150857 SME-8-S-LED-24 Proximity switch 01.12.2012 1 as is where is immediately
108276 FICO AMS24 AUTO MOLDING SYSTEM ASSEMBLY 01.06.1995 1 as is where is immediately
106894 FINETECH GmbH Fineplacer 96 Manual FlipChip Bonder 1 as is where is immediately
108817 FOUR DIMENSIONS CV92A Semi Auomatic Mercury Probe CV Plotter up to 200 mm 01.06.1998 1 inquire immediately
110723 Four Dimensions CVMAP 3092-A Wafer CV mapper 100-200 MM 01.06.2005 1 as is where is immediately
18851 FSI 907575-100 Mercury MP spray processing system operation and maintenance manual manual 01.05.1994 1 as is where is immediately
27826 FSI Mercury MP Operation and Maintenance Manual Manual 1 as is where is immediately
27840 FSI Booster Pump Manual 1 as is where is immediately
27879 FSI Mercury MP Spray Processing system Operation & Maintenance Manual Manual 1 as is where is immediately
27880 FSI Chemfill systems Model 1000 CDM/ PPS Manual Rev D manual 2 as is where is immediately
27881 FSI Chemfill systems - Manual Model 1000-4 PLC CDM Supplementary Training Package Manual 1 as is where is immediately
83516 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track spares 01.05.2000 1 as is where is immediately
86277 FSI Polaris 3500 (Spares) staubli rx 90 robot control unit 308998-001 RX 90 CONTR.1 spares 01.09.2000 1 as is where is immediately
95574 FSI Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS Spares 1 as is where is immediately
95575 FSI Polaris 3500 (Spares) IKEGAMI PM-930A PICURE MONITOR Spares 1 as is where is immediately
95576 FSI Polaris 3500 (Spares) VT106 10 INCH VGA MONOCHROME MONITOR Spares 2 as is where is immediately
95577 FSI Polaris 3500 (Spares) IBM 8228 P/N 6091014 Multistation Access Unit Spares 2 as is where is immediately
95578 FSI Polaris 3500 (Spares) Proteon P7228 rev h PROTEON PRONET P7228 8 PORT Spares 2 as is where is immediately
95579 FSI Polaris 3500 (Spares) Patlite SL-V Signal Tower, 2 color, Amber, Red Spares 2 as is where is immediately
95580 FSI Polaris 3500 (Spares) Power box for 200 mm note track Spares 1 as is where is immediately
95581 FSI Polaris 3500 (Spares) PLAST-O-MATIC PRD075B-PP VALVE Spares 2 as is where is immediately
95582 FSI Polaris 3500 (Spares) PCB, FSI A/N 294084-200C Spares 1 as is where is immediately
95583 FSI Polaris 3500 (Spares) DIGI ACCELPORT PCB 55000534 REV F Spares 1 as is where is immediately
95584 FSI Polaris 3500 (Spares) PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER Spares 1 as is where is immediately
95585 FSI Polaris 3500 (Spares) PCB, FSI 61-0061-10 EXP-BP5 Spares 1 as is where is immediately
95586 FSI Polaris 3500 (Spares) PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT Spares 1 as is where is immediately
95587 FSI Polaris 3500 (Spares) PCB, FSI 10444-01AA Spares 31.05.1999 1 as is where is immediately
95588 FSI Polaris 3500 (Spares) PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER Spares 01.05.1999 1 as is where is immediately
95591 FSI Polaris 3500 (Spares) Power Supply, Densei-Lambda Alpha 250S Spares 31.05.1999 1 as is where is immediately
95592 FSI Polaris 3500 (Spares) GAS SENSOR, FSI P/N 841-004-i Spares 1 as is where is immediately
95593 FSI Polaris 3500 (Spares) ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT Spares 1 as is where is immediately
95595 FSI Polaris 3500 (Spares) PLATE ASSEMBLY Spares 31.08.1995 1 as is where is immediately
95596 FSI Polaris 3500 (Spares) PLASTIC COVER Spares 1 as is where is immediately
95602 FSI Polaris 3500 (Spares) FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1 Spares 1 as is where is immediately
95603 FSI Polaris 3500 (Spares) FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C Spares 31.01.2000 1 as is where is immediately
95604 FSI Polaris 3500 (Spares) FSI P/N 917803-001B DWR-DSP CABLE, 25 CM Spares 1 as is where is immediately
95605 FSI Polaris 3500 (Spares) FSI P/N IB1-7000T 2 FEET CABLE 0795 Spares 1 as is where is immediately
95606 FSI Polaris 3500 (Spares) FSI P/N IB1-7000T , CABLE 2 FEET 0795 Spares 30.06.1995 1 as is where is immediately
95607 FSI Polaris 3500 (Spares) FSI P/N POWER CABLE, LENGTH 135 CM Spares 1 as is where is immediately
95608 FSI Polaris 3500 (Spares) FSI P/N 8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM Spares 1 as is where is immediately
105877 FSI Mercury (Spare Parts) Brand New Chemical Canister, HF Coded Spares 1 as is where is immediately
105880 FSI Mercury (Spare Parts) Used Chemical Canister, HCl Coded Spares 1 as is where is immediately
106015 FSI Polaris 3500 (Spares) POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01 Spares 1 as is where is immediately
106873 FSI Zeta 300 G3 Wafer Acid Spray Cleaner, Hot SPM Process 300 mm 01.01.2012 1 as is where is immediately
108717 FSI Polaris 3500 Mini Photolithography Track without coaters and developers 300 MM / 200 mm 01.06.2004 1 as is where is immediately
108950 FSI Mercury (Spare Parts) 586 CPU Board for an FSi Mercury Spares 1 inquire immediately
109553 FSI Zeta 300 G3 Wafer Acid Spray Cleaner, Hot SPM Process 300 mm 01.04.2007 1 as is where is immediately
83616 FSP GROUP INC 100-240 W POWER SUPPLY for a p.c. 10A 50-60 Hz 01.01.2008 10 as is where is immediately
83884 FUJI CP32 CIRCUIT PROTECTOR 5 as is where is immediately
103814 Fujikin FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA Spares 01.05.2005 1 as is where is immediately
83544 Fujitsu Denso FDS26-29 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER Spares 01.02.1996 2 as is where is immediately
83549 Fujitsu Denso FDS11+11 750W 34A Power supply FOR ADVANTEST T5335P TESTER Spares 01.12.1995 1 as is where is immediately
83903 GACHOT DN 15-10 STAINLSS STEEL BALL VALVE Spares 2 as is where is immediately
96543 Gaertner L115C-8 Ellipsometer, cassette to cassette 100-200 mm 28.02.1995 1 as is where is immediately
84075 Gasonics DTC2280 ISA IDE control board floppy HDD 1 as is where is immediately
83920 GAZEL MG.FE 14 SUPERCLEAN 14 as is where is immediately
83921 GAZEL MG.FC 14 GSI 6 as is where is immediately
83922 GAZEL MG.FE 38 SUPERCLEAN 4 as is where is immediately
83923 GAZEL EMC 38 AB GSI 9 as is where is immediately
83924 GAZEL ECF 14 SUPERCLEAN 6 as is where is immediately
83925 GAZEL ECM 14 SUPERCLEAN-GSI 6 as is where is immediately
83926 GAZEL ECF 12 SUPERCLEAN 5 as is where is immediately
83927 GAZEL ECM 12 GSI 1 as is where is immediately
91138 GEMINI GEMINI III E EPI Reactor Batch 150 mm 1 as is where is immediately
98463 Genmark GB4/3L Atmospheric wafer handling robot with controller 200 mm 1 as is where is immediately
98464 Genmark GB8-MT-80050102 Single arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
101042 Genmark AVR series Cleanroom Vacuum Robot only Spares 1 as is where is immediately
106945 Genmark GB3 Wafer handling Robot Robot 1 as is all rebuilt immediately
107016 Genmark Various genmark robots and Edwards turbo pumps Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot Spares 01.06.2013 9 as is where is immediately
110782 Giant Force GPO-270-B Burn-In Oven Reliability 01.09.2022 1 as is where is immediately
110783 Giant Force GTH-150-40-CP-SD Temperature and Humidity Chamber Reliability 01.02.2023 1 as is where is immediately
106855 Gigaphoton A700GK-1 248 nm (KrF) excimer laser Facilities 01.10.2007 1 as is where is immediately
111342 Gigaphoton G21K2-1 KrF excimer laser 248 nm Facilities 01.11.2003 1 as is where is immediately
76735 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76736 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76737 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76738 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76739 GL Automation IDSCOPE Wafer bar code reader 200 mm 31.08.2004 1 as is where is immediately
79892 Gossen Konstanter IEC625 Laboratory Power supply Gossen Konstanter UOP Electronics Test and Measurement 1 as is where is immediately
106476 GPD PBFT856VS Pull Force Tester 150 mm/200 mm 01.06.2000 1 as is where is immediately
83800 GSC P140-74 Gleichstrom Servo Motor 1 as is where is immediately
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 200 mm and packages 30.09.2001 1 as is where is immediately
111367 HAMAMATSU L8488-01 LC5 Lightning Cure 1 as is where is immediately
84413 HAMPTON CYCLETROL 240 CIRCUIT BREAKER 1 as is where is immediately
106042 Hardwall cleanroom Kingspan Ultratech Versatile 950 sq mt ISO Class 8 cleanroom facilities 01.06.2023 1 as is where is immediately
110702 Heidelberg DWL-200 Laser Direct-Write Lithography System Up to 200 mm 01.06.2007 1 as is where is immediately
109282 Hesse & Knipps BJ855 and BJ820 Wedge Bonders , magazine to Magazine Assembly 01.06.2019 26 as is where is immediately
110596 Hesse & Knipps BJ 820 Magazine to magazine transport system for wirebonder Assembly 01.05.2013 1 as is where is immediately
111376 Hewlett Packard HP3455A Digital Voltometer 1 as is where is immediately
111377 Hewlett Packard HP54542A Oscilloscope 1 as is where is immediately
110776 Highmax SHM-150 Wafer mounter 1 as is where is immediately
110777 Highmax UV-200 Curing Oven 8" 1 as is where is immediately
52166 Hitachi 545-5515 DC power supply module for CD SEM spares 1 as is where is immediately
52167 Hitachi 6280H Power Supply Module 4channels spares 1 as is where is immediately
52168 Hitachi 545-5540 Power Supply unit for CD SEM Spares 1 as is where is immediately
52301 Hitachi 545-5516 7 Channel Power Supply module spares 0 as is where is immediately
52312 Hitachi 545-5522 VG board for CD SEM spares 31.05.1994 1 as is where is immediately
52339 Hitachi 545-5521 EVAC PCB FOR HITACHI CD-SEM spares 31.05.1994 1 as is where is immediately
52340 Hitachi 545-5537 IP-PC2 for cd-sem spares 31.05.1994 1 as is where is immediately
52343 Hitachi 377-7592 Power Supply Module for CD SEM spares 31.05.1994 1 as is where is immediately
53054 HITACHI 6280H (SPARES) SORD Computer for cd sem system spares 1 as is where is immediately
74794 Hitachi S5200 FE SEM with EDX Inspection 31.05.2005 1 as is where is immediately
83849 Hitachi S4500 Type I FE SEM Laboratory 01.05.1995 1 inquire immediately
86278 Hitachi 6280H CONTROL RACK CD SEM (PARTS) Spares 01.05.1995 1 as is where is immediately
91417 HITACHI LS-6800 wafer surface inspection 300 mm 31.08.2007 1 as is where is immediately
98269 HITACHI RS 4000 Defect Review SEM 300 mm 01.05.2003 1 as is where is immediately
98270 HITACHI RS 4000 Defect Review SEM 300 mm 01.05.2003 1 as is where is immediately
102060 Hitachi 6280H CD SEM Control Rack including qty 12 PC Boards in the rack Spares 12 as is where is immediately
103525 HITACHI S4700-l Scanning Electron Microscope Laboratory 31.05.2004 1 as is where is immediately
103526 HITACHI S4700-ll FE Sem with Horriba EMAX EDX Laboratory 31.05.2001 1 as is where is immediately
103527 HITACHI S4700-ll FE Sem with Horriba EMAX EDX (Destocking Status) Laboratory 31.05.2003 1 as is where is immediately
106160 HITACHI RS6000 (Enhanced) Defect Review SEM 300 mm 01.06.2013 1 as is where is immediately
108040 Hitachi Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
108071 Hitachi HL8000M E-Beam Litho (6 inch mask) RETICLE 1 as is where is immediately
108568 HITACHI LS9000 Wafer Surface Inspection System 300mm 01.06.2010 1 as is where is immediately
108752 HITACHI S7000 CD SEM 150 mm 01.05.1989 1 as is where is immediately
108896 Hitachi RS4000 Defect Review SEM 300 mm 01.06.2006 1 as is where is immediately
108902 Hitachi RS4000 Defect Review SEM 300 mm 01.05.2007 1 as is where is immediately
109287 Hitachi SU 8010 Ultra High Resolution Field Emission Scanning Electron Microscope 100 mm 01.06.2007 1 as is where is immediately
109556 Hitachi HD2300 STEM (Scanning Transmission Electron Microscope) Laboratory 01.06.2006 1 as is where is immediately
109561 Hitachi S5500 HIGH RESOLUTION INSPECTION SEM Laboratory 01.06.2014 1 as is where is immediately
109568 Hitachi CG-4100 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2012 1 as is where is immediately
109569 Hitachi CG-4000 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2010 1 as is where is immediately
109578 HITACHI CM-700H WIRE BONDER ASSEMBLY 01.05.2007 20 inquire immediately
110766 Hitachi S-9260A SEM 1 as is where is immediately
110781 Hitachi S-7840 High Resolution Imaging and CD-SEM 150 mm to 200 mm 01.09.2000 1 inquire immediately
111363 Hitachi S-4800 CD SEM 1 as is where is immediately
111385 Hitachi 566-5504 CPU PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111386 Hitachi 565-5506 MAG. ADJ. PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111387 Hitachi 545-5505 RS232C PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111388 Hitachi 566-5346 PANEL I/F PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111389 Hitachi 566-5513 C/G RAM PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111390 Hitachi 545-5591 CRTC (T) PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111391 Hitachi 545-5596 V-AMT (T) PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111392 Hitachi 545-5598 NV-MEM PCB for Hitachi S6280H CD-SEM spares 01.05.1994 2 as is where is immediately
111393 Hitachi 545-5507 SCAN CONTROL PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
111394 Hitachi 566-5510 HV LENS PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
109114 HMI EScan 400XP E-Beam wafer inspection system 300 mm 01.06.2010 2 as is where is immediately
109115 HMI EScan EP3 E-Beam wafer inspection system 300 mm 01.06.2010 2 as is where is immediately
77264 HOLADAY HI-1801 MICROWAVE SURVEY METER Laboratory 2 as is where is immediately
108753 HP / Agilent 54601A Oscilloscope, 4 Channel 100 MHz Electronics Test and Measurement 1 as is where is immediately
110616 HP / Agilent 4062 Automated Test equipment TEST 1 as is where is immediately
83579 HP HEWLETT PACKARD 9145A PC HP HEWLETT PACHARD 9145 115/230V 28.02.1990 1 as is where is immediately
82181 hps mks 90 degree flange HPS MKS Stainless Steel VACUUM FITTING 1 as is where is immediately
77156 HTC ISO1010OCRVSA Pump flange PN 192112412 Spares 1 as is where is immediately
110840 HTC Solar Set of spare parts spares 656 as is where is immediately
77145 Huber Unichiller UC060Tw chiller Chiller 1 as is where is immediately
107687 Huttinger PFG 300 RF Generator Spares 1 as is where is immediately
77004 ICP DAS Omega DB-16R Daughter Board Spares 01.01.2006 2 as is where is immediately
84238 IGUS 07.10.038.0 Belt Spares 1 as is where is immediately
13025 IKO LWES 15 C1 R460 S2 Linear way with single bearing block,set of 4 Spares 4 as is where is immediately
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83618 IKO NIPPON THOMPSON T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83619 IKO NIPPON THOMPSON BCS H S2 LINEAR BEARING AND RAIL 30.11.2003 1 as is where is immediately
83626 IKO NIPPON THOMPSON PS1 LINEAR BEARING 30.11.2003 1 as is where is immediately
84222 IKO NIPPON THOMPSON LWL 12 C2 LINEAR BEARING AND RAIL Spares 01.11.2008 1 as is where is immediately
83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER RS232 1 as is where is immediately
77151 Ingersoll Rand ANAQK-ABXAB-160 Provenair HT Pneumatic Cylinder Spares 01.01.2008 1 as is where is immediately
56141 Innolas ILS 700P Laser Edge Isolation 156 mm 01.11.2006 1 as is where is immediately
110724 Innolas C3000DPS Wafer Marking System 300 MM 01.06.2002 1 as is where is immediately
86677 InTest Test Head CPIT TEP8 / STFLASH EPROM / 1792 Test Head Spares 31.05.2007 1 as is where is immediately
111365 INVALID[MPI Thermal ] ThermalAir TA5000A Temperature Forcing System 1 as is where is immediately
98465 IPEC 472 CMP Polishing system 150 MM / 200 mm 3 as is where is immediately
98466 IPEC 472 CMP 150 mm 2 as is where is immediately
110676 IPEC 472 CMP Polishing system 150 / 200 1 as is all rebuilt immediately
106504 Irvine Optical Auto Wafer Loader Microscope Inspection WAFER INSPECTION MICROSCOPE WITH AUTOLOADER 200 mm 01.06.1990 1 as is where is immediately
108970 IsMeca NX16 TEST SYSTEM TEST 01.06.2011 1 as is where is immediately
101047 Jel SCR32000CS‐ 450‐PM Cleanroom Handling Robot Spares 31.05.2014 1 as is where is immediately
108323 JEOL JFS-9855S Focused Ion Beam System 200mm 01.05.2000 1 as is where is immediately
108324 JEOL JWS-7555 SEM - Defect Review (DR) 200mm 1 as is where is immediately
108907 JEOL JEM3200FS High Resolution TEM Laboratory 01.05.2006 1 as is where is immediately
109558 JEOL JSM-7500F SEM Laboratory 01.05.2009 1 as is where is immediately
111356 JEOL jbx-3040mv E-beam lithography system 200 mm 01.06.2006 1 as is where is immediately
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 156 mm and 125 mm 31.05.2003 1 as is where is immediately
77014 Jonas and Redmann SDB A AUTOMATED Loader for baccini Print Line 156 mm 01.06.2006 1 as is where is immediately
98726 Jonas and Redmann SDB Automated Loader for Baccini Printing Line Solar 31.05.2008 1 as is where is immediately
98727 Jonas and Redmann WHD (Wafer Handling Diffusion) Automated Loader for Centrotherm E2000 Furnace Solar 01.05.2008 1 as is where is immediately
98728 Jonas and Redmann WHP (Wafer Handling Plasm) Automated Loader for Anti Reflection Coating System Solar 01.05.2008 1 as is where is immediately
99830 JORDAN VALLEY JVX6200I X-ray Metrology System 300 mm 28.02.2011 1 as is where is immediately
84552 JSC Systems 510 Controller spares 1 as is where is immediately
109042 JST CLV IPA Wafer Dryer 200 mm 01.12.2005 1 as is where is immediately
106917 K AND S SPRINT HIGHSPEED AUTOMATIC WIRE BONDER ASSEMBLY 1 as is where is immediately
109028 K AND S 8028 Automatic Ball Bonder Assembly 01.03.2000 1 as is where is immediately
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components Assembly 31.05.2010 1 as is where is immediately
108756 K&S 1471 Automatic wedge bonder Assembly 2 as is where is immediately
108757 K&S 1488 Plus Automatic Gold Ball Bonder Assembly 01.05.1997 1 as is where is immediately
111380 K&S Power Fusion HT1 Wedge Bonder 1 as is where is immediately
84231 Kalrez O-RING AS-568A o-ring seal Spares 2 as is where is immediately
99394 KARL SUSS MA 150 Mask aligner (For spares use) 150 mm 1 as is where is immediately
100939 Karl Suss MA 25 Mask Holder spares 2 as is where is immediately
108909 Karl SUSS MA200 Mask Aligner 150 mm-200 MM 01.05.1992 1 as is where is immediately
110609 Karl Suss MA200 Mask Aligner with CIC1000 lamp housing 200 mm 1 as is where is immediately
110611 KARL SUSS MJB-3 Mask Aligner 1 as is where is immediately
110612 KARL SUSS MA56 Mask Aligner 1 as is where is immediately
110699 Karl Suss MA 150 Mask Aligner 150 mm 1 as is where is immediately
106897 Karl Suss Micro Tec MA200 Mask Aligner with CIC1000 lamp housing -suitable for spare use 200 mm 1 as is where is immediately
106915 Karl Suss Micro Tec PA-200 Wafer Prober Station 01.06.2001 1 as is where is immediately
106916 Karl Suss Micro Tec PA200 Wafer Prober Station 01.06.2006 1 as is where is immediately
98468 Kawasaki 3NX540B-A302 atmospheric wafer robot( AMAT producer) 300 mm 1 as is where is immediately
98469 Kawasaki NS410B-A002 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is immediately
106798 Kawasaki 3NS411B-F006 Robot with cable and Controller Spares 01.06.2008 1 as is where is immediately
103208 Keller VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air Facilities 31.10.2011 1 as is where is immediately
106818 Kensington WFH4C wafer robot with aligner 150/200mm 1 as is where is immediately
106819 Kensington WFH4D wafer robot with aligner 150/200mm 1 as is where is immediately
84388 KEYENCE FU-12 PHOTO SENSOR 4 as is where is immediately
84392 KEYENCE PS SERIES PHOTO SENSOR 6 as is where is immediately
84393 KEYENCE PS SERIES PHOTO SENSOR 1 as is where is immediately
84394 KEYENCE PS SERIES PHOTO SENSOR 3 as is where is immediately
1736 KLA 710-101836-02 AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system SPARES 01.01.1985 1 as is where is immediately
4290 KLA VLSI 845 DUPONT VERIMASK for KLA 2xx reticle inspection system Spares 01.12.1990 1 as is where is immediately
27804 KLA Defect Highlighting PC Defect Highlighting PC for KLA 2xx reticle inspection system spares 1 as is where is immediately
27807 KLA 720-05887-000 MCP Detector Control Chassis spares 3 as is where is immediately
27809 KLA 259 (spares) Trinocular Microscope Head for KLA 2xx reticle inspection system SPARES 01.05.1992 1 as is where is immediately
31615 KLA 8100 (Spares) wafer tabel for CD SEM P/N 731-09404-047 Rev 2 spares 1 as is where is immediately
31620 KLA 8100 Interface cable set P/N 810-09072-002 REV A Spares 2 as is where is immediately
31645 KLA 259 (Spares) Set of 2 Objectives and 2 illuminator lenses for KLA 259 reticle inspection system Spares 01.06.1991 4 as is where is immediately
34121 KLA 7700m robot Dist. PCB 1 as is where is immediately
34132 KLA 7700m 181137 Drive Assy PCB 1 as is where is immediately
34135 KLA 7700m 174203 Rev D PCB Flat finder pwd Driver 1 as is where is immediately
34138 KLA 7700m (spares) p/n 252948 Rev B Motor Lamp Drive SFS 76 SPARES 1 as is where is immediately
34139 KLA 7700m Front Panel PCB 1 as is where is immediately
53026 KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system spares 1 as is where is immediately
83635 KLA 712-023914-00 rev B CCD TV camera for KLA 2XX reticle inspection system SPARES 1 as is where is immediately
84000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 SPARES 31.01.1996 1 inquire immediately
84076 KLA 050-654234-00 Lamp Micro Line Filament w/ clips 30.09.1996 1 as is where is immediately
84216 KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
84218 KLA 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
84301 KLA 710-658036-20 Alignment Processor board REV C3 Spares 1 as is where is immediately
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares 1 as is where is immediately
84303 KLA 710-658046-20 PRocessor Board REV E0 Spares 1 as is where is immediately
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares 2 as is where is immediately
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares 2 as is where is immediately
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares 1 as is where is immediately
84307 KLA 710-659412-00 Mass Memory PCB REV C0 Spares 1 as is where is immediately
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
84309 KLA 710-658086-20 PC Board, REV E0 Spares 1 as is where is immediately
86304 KLA 1007 Chuck, prober, 6" gold chuck assembly 200 mm 1 inquire immediately
87086 KLA 655-6616141-00 Wafer stage, kla 21xx 200 mm 1 as is where is immediately
87642 KLA 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400 Spares 1 as is where is immediately
106066 KLA 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only spares 01.06.1990 1 as is where is immediately
106080 KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB Spares 1 as is where is immediately
106081 KLA 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB Spares 1 as is where is immediately
106082 KLA 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB Spares 1 as is where is immediately
106083 KLA 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB Spares 1 as is where is immediately
106084 KLA 710-101836-02 Rev G3 AUTOFOCUS 2 PCB Spares 1 as is where is immediately
106106 KLA 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106107 KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106108 KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106109 KLA 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106110 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 01.06.1991 1 as is where is immediately
106111 KLA 710-028014-01 E ADBA PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106112 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106113 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106114 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106115 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106116 KLA 710-039524-00 A AP 1 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106117 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106118 KLA 710-028014-00 B3 Image Memory Address PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106119 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106120 KLA 710-037887-01 B BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106121 KLA 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106122 KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106123 KLA 710-036380-00 C IAS parameter 2 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106124 KLA 710-023279-00 H2 04/16 level dump PCB for KLA 2xx reticle inspection system Spares 01.09.1991 1 as is where is immediately
106125 KLA 710-040042-00 XB e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106126 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106127 KLA 710-029694-00 XF e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106128 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106129 KLA 710-039924-00 A1 SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106130 KLA 710-028287-01 G1 servo 4 II PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106131 KLA 710-023599-01 B1 Computer IF (RF) PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106132 KLA 710-037718-00 C SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106133 KLA 710-023273-00 C 512 K ram, 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106134 KLA 710-037717-00 A FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106135 KLA 710-029924-00 REV F Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106136 KLA 710-029927-00 REV E Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106137 KLA 710-037889-00 Rev XA Preprocessor I/O PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106138 KLA 710-036106-00 REV D Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system Spares 01.09.1992 1 as is where is immediately
106140 KLA 710-037699-00 XA1 gain/offset testpoint board PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106141 KLA 710-102570-02 Rev 1 Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106142 KLA 210e and 259 (Spares) Encoders, 2500 LPI for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106143 KLA 740-064388-000 RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS 5 INCH/6 INCH 01.06.1999 1 as is where is immediately
106146 KLA 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
106189 KLA 740-401-320 AIRLOCK ASSEMBLY PCB Spares 01.09.1989 1 as is where is immediately
106191 KLA 900-01003-120 Assy. Software System 8xxx V3.1.2 Spares 01.06.2000 1 as is where is immediately
106865 KLA 2830 (PARTS) EFEM ONLY with Yaskawa XURCM9206 robot 300 mm 01.02.2010 1 as is where is immediately
106968 KLA SP2 (spare parts) Complete set of calibration standard wafers for a KLA SP2 200 mm 01.11.2022 1 inquire immediately
108009 KLA UV1250SE Wafer Film measurement / Ellipsometer 200 mm 01.10.1996 1 as is where is immediately
108569 KLA 2131 Inspection System 200 mm 01.05.1995 1 as is where is immediately
108989 KLA 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX Spares 01.08.1996 1 as is where is immediately
109075 KLA AIT FUSION UV (SPARES) Hard Disk Drive with software for KLA AIT Fusion UV spares 01.11.2007 1 as is where is immediately
109106 KLA Surfscan AIT 3 Wafer particle Inspection 200 mm 01.06.2005 1 as is where is immediately
109537 KLA 2351 Brightfield Wafer Defect Inspection System 200 mm 01.06.2002 1 as is where is immediately
109562 KLA 2367 Brightfield wafer defect inspection system 200 mm 01.09.2006 1 as is where is immediately
110659 KLA UV1250SE Thin film meansurement system 125 mm - 200 mm 01.08.1998 1 as is where is immediately
110660 KLA 7700 Surfscan wafer particle detection system 100 - 200 mm 1 as is where is immediately
110668 KLA UV1280SE Thin Film Thickness Measurement System / Ellipsometer Up to 200 mm 01.01.2000 1 as is where is immediately
110679 KLA Surfscan 6400 Unpatterned wafer surface particle inspection system 100-200 MM 01.06.1994 1 inquire immediately
110686 KLA eDR-5200 Defect Review Sem 300 mm 01.06.2009 2 as is where is immediately
110751 KLA OP 2600 THIN FILM MEASUREMENT 200 mm 1 as is where is immediately
110786 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm 1 inquire immediately
110787 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm / 300 mm 1 inquire immediately
110788 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm 1 inquire immediately
111346 KLA Quantox XP Electrical Measurement 300 mm 01.10.2006 1 as is where is immediately
111348 KLA P16 Plus Profileometer 200 mm 01.06.2005 1 as is where is immediately
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
95117 KLA - Tencor 259 Image Digitizer Assembly SPARES 2 as is where is immediately
34117 KLA -TENCOR 7700 CASSETTE PLATE + PCB 8" SPARES 01.09.1995 1 immediately
34126 KLA -Tencor 7700m (Spares) Mirror Curved SPARES 1 as is where is immediately
34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 SPARES 1 as is where is immediately
83562 KLA -TENCOR 7700M (Spares) CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan Spares 1 as is where is immediately
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM SPARES 01.06.1998 1 as is where is immediately
21670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 SPARES 01.02.1996 1 inquire immediately
21671 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY SPARES 01.02.1996 1 inquire immediately
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM Spares 1 as is where is immediately
32230 KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32231 KLA TENCOR Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure MANUAL 1 as is where is immediately
32232 KLA TENCOR surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32233 KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
34140 KLA TENCOR 7700M COMPUTER HARD DISK 1 as is where is immediately
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS SPARES 01.02.1997 1 as is where is immediately
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 150 WATTS 01.02.1997 1 as is where is immediately
83577 KLA TENCOR 7700 M MODEL 2214-30SLOTT D1,D2,D3 01.09.1998 1 as is where is immediately
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 230 WATTS 01.02.1997 1 as is where is immediately
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems SPARES 1 as is where is immediately
83895 KLA TENCOR 7700M (Spares) PIN DIODE PRE AMP PCB SPARES 31.05.1992 1 as is where is immediately
83898 KLA TENCOR 7700M (Spares) Optical sub-assembly SPARES 1 as is where is immediately
83899 KLA TENCOR 7700M (Spares) Lens assembly SPARES 2 as is where is immediately
83900 KLA TENCOR 7700 Mechanical part SPARES 1 as is where is immediately
106481 KLA Tencor AIT I Patterned Surface Inspection System 150 mm/200 mm 01.06.1995 1 as is where is immediately
106674 KLA TENCOR Viper 2430 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is immediately
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem SPARES 3 as is where is immediately
34116 Kla-Tencor AIT 1 Network Card PCB SPARES 1 as is where is immediately
84411 KLA-Tencor 7700M (Spares) Electro-optical assembly for KLA Surfscan 7600 and 7700 SPARES 31.05.1995 1 as is where is immediately
1691 KLA-TENCOR 259 (spare parts) Reticle Inspection - SPARE PARTS up to 7 inch 01.12.1991 1 inquire immediately
4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE FACILITIES 1 as is where is immediately
4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 200 mm 1 as is where is immediately
18598 KLA-TENCOR 5xxx Spare Parts Set of Spare Parts from a KLA 5015 150 mm 01.12.1990 1 as is where is immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
21667 KLA-Tencor JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN SPARES 31.01.1998 1 inquire immediately
27790 KLA-Tencor 259 (Spare parts) PCBs for reticle inspection system 1 as is where is immediately
27806 KLA-Tencor TFE Gun Controller Gun Controller Chassis Part No 720-05888-000 Spares 2 as is where is immediately
31613 KLA-Tencor 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000 spares 5 as is where is immediately
34125 KLA-Tencor 7700m (spares) Mirror Assy Flat spares 1 as is where is immediately
34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler spares 1 as is where is immediately
34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control SPARES 1 as is where is immediately
34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical spares 1 as is where is immediately
34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB SPARES 1 as is where is immediately
34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB spares 1 as is where is immediately
34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 spares 1 as is where is immediately
34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS spares 1 as is where is immediately
34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror SPARES 1 as is where is immediately
34165 KLA-TENCOR 3005503 OPTICAL ASSY for kla 7700 and 7600 surfscans Spares 1 as is where is immediately
34166 KLA-TENCOR AIT 1 (SPARES) Lens Assembly in transport box SPARES 1 as is where is immediately
52151 KLA-Tencor Hamamatsu R1924A Photomultiplier spares 01.03.2007 1 as is where is immediately
53035 KLA-Tencor 2132 (8 inch Wafer Chuck Assembly) Ceramic Wafer chuck, 8" spares 1 as is where is immediately
53036 KLA-Tencor Compumotor M575L11 Stepping motor drive spares 1 as is where is immediately
53227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 SPARES 01.12.1989 1 as is where is immediately
71632 KLA-TENCOR 2122 Brightfield Wafer Defect Inspection System 200 mm 01.04.1996 1 as is where is immediately
74643 KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems spares 01.06.1993 1 as is where is immediately
76358 KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB Spares 1 as is where is immediately
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 0,4-0,7 MPa 31.05.1998 3 as is where is immediately
83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM SWAGELOK 152086 01.06.2000 1 as is where is immediately
83623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM spares 01.06.2000 1 as is where is immediately
83630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM spares 01.12.2000 1 as is where is immediately
83643 KLA-Tencor RIBBON CABLE SPARES 2 as is where is immediately
83645 KLA-Tencor 2xx (SPARES) Control Paddles for KLA 2xx reticle inspection system Spares 01.06.1992 1 as is where is immediately
83810 KLA-Tencor 7700M (Spares) leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope spares 31.05.1997 1 as is where is immediately
83837 KLA-TENCOR 7700M (Spares) EMO Button for KLA 7700M SPARES 1 as is where is immediately
83896 KLA-TENCOR Pittman 9433F528 Servo Drive motor for KLA 7700 Surfscan SPARES 1 as is where is immediately
83897 KLA-Tencor 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M SPARES 01.08.1995 1 as is where is immediately
83901 KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan) SPARES 1 as is where is immediately
83902 KLA-Tencor 5xxx Spare Part Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY Spares 1 as is where is immediately
83918 KLA-TENCOR 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan SPARES 1 as is where is immediately
83929 KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM spares 31.05.1998 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
83932 KLA-TENCOR 195430 rev B Detector Assembly for a KLA 7700M Surfscan SPARES 30.11.1985 1 as is where is immediately
84054 KLA-TENCOR 8100 (Spares) Motorized slit assembly for CD SEM Spares 2 as is where is immediately
84086 KLA-TENCOR ASSY.CBL.GND EATHING STRAP 01.06.2000 14 as is where is immediately
84087 KLA-TENCOR 7700M (Spares) Mirror assembly for KLA 7700 m surfscan Spares 1 as is where is immediately
84088 KLA-TENCOR 7700M (Spares) Optical Lens assembly from a KLA 7700 M Surfscan Spares 1 as is where is immediately
84089 KLA-TENCOR 7600M ELECTRO-OPTICAL ACTUATOR ASSY Spares 28.02.1998 1 as is where is immediately
84091 KLA-TENCOR 113387 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers Spares 31.05.1995 1 as is where is immediately
84092 KLA-TENCOR 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132 200 mm 31.05.1998 1 as is where is immediately
84093 KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM Spares 1 as is where is immediately
84215 KLA-TENCOR 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M Spares 01.05.1993 1 as is where is immediately
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
84220 KLA-TENCOR 253537 Rev A Microscope Distribution PCB, for Surfscan 7600 and 7700 series Spares 1 as is where is immediately
84409 KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT SPARES 1 as is where is immediately
84410 KLA-TENCOR 7700M SENSOR OPTICAL SPARES 1 as is where is immediately
91464 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 200 mm 1 as is where is immediately
96998 KLA-Tencor Surfscan AIT Patterned Wafer Inspection 200 mm 01.05.1997 1 as is where is immediately
103206 KLA-Tencor 5xxx Spare Part LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System Spares 31.05.1995 1 as is where is immediately
103366 KLA-TENCOR 7700M (Spares) Robot Arm, for up to 8 inch wafers, for KLA 7700 M Spares 31.05.1993 1 as is where is immediately
105866 KLA-Tencor Surfscan 7700m Keyboard Assy 1 as is where is immediately
108760 KLA-Tencor Surfscan 4500 Wafer Particle Inspection System 2 to 6 inch 01.05.1986 1 as is where is immediately
109059 KLA-Tencor 289825A Sony XC-711 Video Camera and cable set SPARES 1 as is where is immediately
109107 KLA-Tencor PROMETRIX FT-650 WAFER FILM THICKNESS MEASUREMENT SYSTEM 01.06.1991 1 as is where is immediately
109198 KLA-Tencor Surfscan SP2 Wafer Particle Measurement System 300 mm 01.12.2010 1 as is where is immediately
110725 KLA-Tencor ARCHER 5 Optical Overlay measurement system 150-200 mm 01.06.2001 1 as is where is immediately
110726 KLA-Tencor OmniMap AutoRS75TC Wafer Resistivity Measurement 150-200 mm 01.06.1996 1 as is where is immediately
110727 KLA-Tencor UV1080 Thin film measurement system 150-200 mm 01.06.2000 1 as is where is immediately
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 spares 01.06.1998 2 as is where is immediately
80185 KNF Neuberger 057359 Diphagrahm service kit for N40.3FT pump 01.01.2012 2 as is where is immediately
103386 KNIEL System CPD 5.12/6.3 Power Supply, 321-019-02.00 Spares 30.04.2001 2 as is where is immediately
83846 KOGANEI JDAS32X5-165W AIR CYLINDER Spares 2 as is where is immediately
83873 KOGANEI BDAS10X30 SPARES 2 as is where is immediately
83880 KOGANEI AME07-E2-PSL VACUUM EJECTOR 8 as is where is immediately
83891 KOGANEI A200-4E1 AIR VALVE 2 as is where is immediately
84223 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 3 as is where is immediately
84224 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 1 as is where is immediately
84258 KOGANEI SLIM AIR CYLINDER 4 as is where is immediately
84261 KOGANEI PDA S AIR CYLINDER 2 as is where is immediately
84265 KOGANEI KA CMA AIR CYLINDER 1 as is where is immediately
84266 KOGANEI TWDA AIR CYLINDER 1 as is where is immediately
84270 KOGANEI SLIM AIR CYLINDER 2 as is where is immediately
84055 KOGANEI LTD KA.CMA MINI CYLINDER 3 as is where is immediately
84056 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
84057 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
83931 KOKUSAI M 152 WRL THERMO COUPLE 11 as is where is immediately
90149 Kokusai DD-1223 V-DF Vertical Furnace, Wet Oxidation 300 mm 01.06.2013 1 as is where is immediately
108006 Kokusai DD-823V VERTICAL CVD FURNACE, H2 ANNEAL PROCESS 200 mm 2 as is where is immediately
108007 Kokusai DJ-853V-8BL J2 VERTICAL CVD FURNACE, HTO PROCESS 200 mm 01.09.2000 1 as is where is immediately
108570 Kokusai DJ-1206VN Vertical Furnace 300 mm 01.05.2007 1 as is where is immediately
109026 Kokusai DJ-853V-8BL J3 VERTICAL CVD FURNACE, NITRIDE PROCESS 150 mm 01.06.2000 1 as is all rebuilt immediately
109108 Kokusai DJ-1236VN-DF Vertical Low-Pressure CVD System 300 mm 01.01.2016 1 as is where is immediately
110667 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.06.2005 1 inquire immediately
110706 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.09.2005 1 inquire immediately
108920 Komatsu G20K4-1 248 nm (KrF) excimer laser LASER 01.05.2001 2 as is where is immediately
84773 KOYO LINBERG VF5100B Set of Cleanroom Manuals spares 31.05.1998 1 as is where is immediately
84212 Kurt J Lesker QF160-SAVR Pump centering ring Spares 2 as is where is immediately
84228 Kurt J Lesker ISO160AVCRT Pump centering ring Spares 2 as is where is immediately
84229 Kurt J Lesker ISO100AVCRT Pump centering ring Spares 2 as is where is immediately
84230 Kurt J Lesker ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange Spares 2 as is where is immediately
84282 Kurt J Lesker QF-SSC-ALM Single claw clamp Spares 8 as is where is immediately
108761 LABCONCO Protector Laboratory Fume Hood and Cabinet with Sink Laboratory 1 as is where is immediately
2873 Lam 4520 (spares) REMOTE CART FACILITIES 01.12.1994 1 as is where is immediately
95408 Lam 4520 (spares) REMOTE CART FACILITIES 01.12.1994 1 as is where is immediately
106700 LAM Novellus Altus Max Concept Three Tungsten CVD 300 mm 01.03.2014 1 as is where is immediately
106874 Lam 2300 Exelan Flex Dry Etcher with 3 chambers 300 mm 01.07.2004 1 as is where is immediately
18913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide 1 as is where is immediately
84377 Lam Research 810-06526-000 ASSY.CBL.EF CONTROL.SNIPER Spares 31.05.2001 1 as is where is immediately
105861 Lam Research 853-495477-001 Rev B Cable Spares 30.11.2000 4 as is where is immediately
105862 Lam Research 853-017410-003 REV A Assy. cable.LWR match,Pk det. Spares 30.11.2000 5 as is where is immediately
106240 Lam Research 853-011168-002 Rev C Surge Protector, Gap Drive Motor Assy Spares 01.07.1996 1 as is where is immediately
108038 LAM RESEARCH Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
108572 LAM RESEARCH INOVA PVD 300mm 01.06.2004 1 as is where is immediately
108573 LAM RESEARCH INOVA PVD 300mm 01.06.2010 1 as is where is immediately
108574 LAM RESEARCH STRATA-3 CVD 300mm 01.06.2021 1 as is where is immediately
108577 LAM RESEARCH Vector Express CVD 300 mm 01.06.2003 1 as is where is immediately
108704 Lam Research 490 Autoetch Polysilicon Dry Etcher 150 mm 01.06.1990 3 inquire immediately
110728 LAM Research 2300 Dry etch cluster tool Mainframe without chambers 200 MM 01.06.2008 1 as is where is immediately
111003 Lam Research 857-120209-305 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111004 Lam Research 857-120210-008 KIT GSKT THRM HTR TO BP - FLEX FX Spares 5 inquire immediately
111005 Lam Research 857-120210-302 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111006 Lam Research 713-066269-002 FIT RING GAST GUARD ABE - ABE Spares 5 inquire immediately
111007 Lam Research 713-069935-003 GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ Spares 5 inquire immediately
111008 Lam Research 713-072792-003 GSKT, GUARD, OUTER ELECTD - FLEX45/DS/DX Spares 5 inquire immediately
111009 Lam Research 713-073439-010 RING, HER, FLEX45 - FLEX45 Spares 5 inquire immediately
111010 Lam Research 410-01754-026-RW KIT, THERMAL SHIM, CIP1 - CIP1 Spares 5 inquire immediately
111011 Lam Research 714-072727-048 GASKET(Q310-575924), FLEX/DS - FLEX45/DD/DS/DX Spares 5 inquire immediately
111012 Lam Research 857-065064-004 KIT, GASKET, ABE - ABE Spares 5 inquire immediately
111013 Lam Research 857-072806-005 KIT, GASKET, ELCTD - FLEX45/DS/DX Spares 5 inquire immediately
111015 Lam Research 857-073299-002 TCP Q-PAD KIT - FLEX ES/EX+ Spares 5 inquire immediately
111016 Lam Research 857-085550-026 ELECTRODE GASKET KIT FOR FLEX EX+ Spares 5 inquire immediately
111017 Lam Research 857-085550-046 KIT GSKT ELECTD - FLEX GX/GXE Spares 5 inquire immediately
111018 Lam Research 857-085550-822 KIT GSKT ELECTD - FLEX FX Spares 5 inquire immediately
111019 Lam Research 719-078253-060 RING GASKET, GEL, CER 509 - FLEX FX Spares 5 inquire immediately
111020 Lam Research 719-078253-103 PKG, GASKET, GEL, CER, WIDE - FLEX FXP Spares 5 inquire immediately
111021 Lam Research 713-072728-130 RING, HTSK, ESC/CPLNG, 300MM, SP - FLEX EX Spares 5 inquire immediately
111022 Lam Research 714-072728-117 HEAT SINK R - FLEX EX+ Spares 5 inquire immediately
111023 Lam Research 839-086924-050/839-086924-021 INNER STUD SOCKETS - Flex DX/FX Spares 5 inquire immediately
111025 Lam Research 839-086924-052 C-Shroud ring STUD SOCKETS - Flex DX/FX Spares 5 inquire immediately
111046 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo Spares 5 inquire immediately
111340 LAM RESEARCH CONCEPT 3 (Parts) Preclean module 300 mm 01.06.2010 2 as is where is immediately
111370 LAM Research 2300 Exelan Flex 45 Etcher Asher 12" / 300mm ICP 1 as is where is immediately
111371 LAM Research 2300 Strip 45 1 as is where is immediately
111364 LAM RESEARCH /ONTRACK DSS 200 Scrubber Series 1 1 as is where is immediately
106820 Lam Research Ontrak Synergy Post CMP cleaner with HEPA mini-environment 200 mm 1 as is where is immediately
106866 Lam Research ® Jetstream MZI Gas Box, new and unused, p/n 571-065780-91534F SPARES 1 as is where is immediately
13044 Lambda CA1000 Alpha 1000W CA1000 Power Supply Spares 01.01.2004 1 as is where is immediately
82879 LAMBDA CKS-36-21A 36V DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
15066 LAMBDA PHYSIK Novaline K2005 248 nm excimer laser for ASML /300 facilities 01.06.2002 1 as is where is immediately
106484 Laurier DS-7000T/R Die Pick and Sort 150 mm/200 mm 01.11.1999 1 as is where is immediately
11234 LEE VAC Gate valves SPARES 3 as is where is immediately
106485 Leica LEICA INM20 Microscope inspection station 200 mm 01.06.1995 1 as is where is immediately
111379 Leica INS3000 Defect Inspection 1 as is where is immediately
111399 Leica M165C Reflected light and transmitted light microscope Assembly 1 as is where is immediately
83570 Leitz 512815 / 2 Microscope for KLA 51xx with olympus eyepieces 512 815/20 31.05.1995 1 as is where is immediately
77003 Leybold 287 02 V2 Pump Valve PUMP 1 as is where is immediately
106241 LEYBOLD ISO-K 100 Large ISO-K Vacuum Bellows and 90 Degree Elbow Spares 1 as is where is immediately
33542 Liebherr FKV 3610 Fridge for the safe storage of photoresist facilities 1 as is where is immediately
106486 Lintec RAD-2000F / 8 LINTEC UV CURE TOOL 150 mm/200 mm 01.07.2018 1 as is where is immediately
106487 Lintec RAD-2500 Lintec Wafter Mounter RAD2500 150 mm/200 mm 1 as is where is immediately
106488 Lintec RAD-2500M/8 Wafer mounter 150 mm/200 mm 01.06.2001 1 as is where is immediately
107008 Long Hill Ind. Ltd. LH 836 Automated Wafer taper 150 mm 01.03.2004 1 as is where is immediately
108282 Longhill LH800 Wafer transfer machine 200 mm 01.06.2007 1 as is where is immediately
98730 LOTUS Spray Cleaner WET Clean for parts Facilities 01.10.2007 1 as is where is immediately
84026 Maple Systems HMI530TV45 Touch Screen spares 01.01.2006 1 as is where is immediately
84072 Maple Systems HMI530TV45 Touch Screen spares 01.01.2006 1 as is where is immediately
110741 March AP-1000 Plasma Cleaning System Assembly 01.06.2000 2 as is where is immediately
83885 MATHESON TRI.GAS ROTAMETER 3 as is where is immediately
83637 MATSUSHITA HP2-DC 24V RELAY HP 20 as is where is immediately
83882 MATSUSHITA BBC 35 N INTERRUPTOR CAP SYS 01.05.2010 1 as is where is immediately
91641 MATTSON HELIOS RTP 300 mm 30.04.2005 1 as is where is immediately
98281 MATTSON HELIOS RTP 300 mm 31.01.2006 1 as is where is immediately
98282 MATTSON HELIOS RTP 300 mm 31.10.2006 1 as is where is immediately
103539 MATTSON HELIOS RTP 300 mm 31.10.2003 1 as is where is immediately
103540 MATTSON HELIOS RTP 300 mm 30.04.2005 1 as is where is immediately
108578 MATTSON PARADIGM_SI DRY ETCH 300mm 01.06.2012 1 as is where is immediately
108579 MATTSON PARADIGM_SI Poly-silicon etcher 300 mm 01.05.2011 1 as is where is immediately
108910 Mattson AST3000 RTP 200 mm 01.09.2002 1 as is where is immediately
109552 Mattson Aspen 3 ICP Dual Chamber Light Etcher 300 mm 01.07.2008 1 as is where is immediately
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber Reliability 31.05.1993 1 as is where is immediately
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber Reliability 31.05.1993 1 as is where is immediately
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 150 mm 31.05.1995 1 inquire immediately
108284 Mechatronic mWS200/300t Wafer sorter with 4 load ports 300 mm 01.06.2012 1 as is where is immediately
98474 MECS OF 250 wafer pre-aligner(Hitachi CDSEM 8820/8840) 200mm 3 as is where is immediately
98476 MECS UTC 801P atmospheric wafer handling robot( WJ-1000) 200mm 2 as is where is immediately
98477 MECS UTX 1100 atmospheric wafer handling robot(ASM eagle-10) 200 mm 2 as is where is immediately
98478 MECS UTX 1200 Atmospheric wafer handling robot(ASM eagle-10) 200 mm 1 as is where is immediately
106821 MECS UTC 820Z atmospheric wafer handling robot( Hitachi CD-SEM) 200 mm 1 as is where is immediately
106822 MECS UTW-FS5500S Atmospheric wafer handling robot NA 1 as is where is immediately
80244 MELLES GRIOT 05-LHP-121 HE NE Laser (Unused) Spares 01.12.1996 1 as is where is immediately
110789 Mentor Graphics Veloce 2 Quattro Hardware Emulation System 1 as is where is immediately
108465 Metryx Mentor OC23 Mass Measurement 200-300 mm 1 as is where is immediately
108766 Micro Automation 2066 Mask and wafer cleaner 1 as is where is immediately
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 200 mm , 150 mm, 125 mm 01.05.2000 1 as is where is immediately
108580 Micromanipulator Probe Station 1 Manual Prober with hot and cold chuck 200 mm 1 as is where is immediately
84374 MICRON 256 MB SYNCH 1 as is where is immediately
84059 MICROSPEED PD-250C PC-TRAC mouse FUUTB02 1 as is where is immediately
80260 MILLIPORE WGGB06WR1 WAFERGARD IN-LINE GAS FILTER SPARES 4 as is where is immediately
80265 MILLIPORE FLUOROGARD-PLUS CWFA01PLV filter CARTRIDGE spares 1 as is where is immediately
80245 MILLIPORE CORP WGFG01HR1 WAFERGARD F MINI IN-LINE GAS FILTER 2 as is where is immediately
82220 Milton Roy AA761-65S Flow rate pump 1 as is where is immediately
79592 Minato Electronics 1940 EPROM Programmer with additional memory Electronics Test and Measurement 31.05.2007 1 as is where is immediately
79593 Minato Electronics 1940 EPROM Programmer Electronics Test and Measurement 31.05.2005 1 as is where is immediately
83812 MINERTIA MOTOR RM SERIES MOTOR ELECTRIC 30.09.1996 1 as is where is immediately
83890 MINERTIA MOTOR RM SERIES INDUCTION MOTOR 01.03.1997 1 as is where is immediately
83554 MITSUBISHI FR-Z120-0.4K PLC, INVERTER 200 V CLASS FREQROL-Z120 1 as is where is immediately
83638 MITSUBISHI Melservo MR-C10A1-UE AC SERVO AMPLIFIER 100-120 V 31.03.2005 1 as is where is immediately
69856 MKS Type 624 Baratron pressure transducer with trip points, range 1000 Torr, CE spares 1 as is where is immediately
74164 MKS 154-0100P High Vacuum Valve, NEW spares 31.12.2005 1 as is where is immediately
77940 MKS 627BX01MCC1B Baratron 1mbar (CAPACITANCE MANOMETER) Spares 1 as is where is immediately
87366 MKS 653B-13064 Type 653 Throttle Control Valve DN100 (4 INCH ID FLANGE) Spares 1 as is where is immediately
111378 MKS FI20620 Astex Power supply 1 as is where is immediately
111405 MKS AX7685-20-C Astek Astron E Remote Plasma Cleaner 1 as is where is immediately
106085 MKS ASTeX Astron FI80131-R Remote Plasma Source Spares 1 as is where is immediately
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
84235 Moeller Xpole PLSM-C10/1 mini breaker switch Spares 1 as is where is immediately
108028 MOSAID M4205 Memory Tester TEST 1 as is where is immediately
108767 MOSAID MS4155 Memory Test System TEST 1 as is where is immediately
108768 MPM SP200 Screen Printer smt 1 as is where is immediately
72156 MRL Black Max Black max heater element, 850 celcius Spares 01.11.2006 1 as is where is immediately
109029 MRSI 505 Die Attach/ Multichip Module assembly 01.01.2001 1 as is where is immediately
84372 MS PRG WO A9403789 MOUSE MODEL No.240C 01.02.1999 1 as is where is immediately
77936 Muegge MX4000D-110LL Microwave Power Supply 3 as is where is immediately
79968 Muegge MW2009D-260ED Magnetron Head 2.45GHZ 01.12.2001 2 as is where is immediately
82230 Muegge Controller Controller, CAN controller, L/R sync 01.12.2001 1 as is where is immediately
106242 Muegge MX4000D-110LL MICROWAVE POWER SUPPLY SPARES 01.02.2002 8 as is where is immediately
106497 Muhlbauer DS 10000 DSD HIGH SPEED TAPE AND REEL SYSTEM ASSEMBLY 01.06.2006 1 as is where is immediately
108964 Multitest MT2168 test handler with hot, ambient and cryogenic cooling options TEST 01.06.2018 1 as is where is immediately
91526 NANOMETRICS Caliper Mosaic Overlay 300 mm 31.05.2003 1 as is where is immediately
91529 NANOMETRICS Caliper Mosaic Overlay 300 mm 28.02.2010 1 as is where is immediately
98291 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 30.06.2006 1 as is where is immediately
98480 Nanometrics 8000X film thickness measurement 150 mm 2 as is where is immediately
98481 Nanometrics 8000Xse film thickness measurement 200 mm 2 as is where is immediately
98482 Nanometrics Caliper Mosaic (Parts) EFEM Module, including a Brooks Razor robot 300 mm 1 as is where is immediately
98483 Nanometrics Lynx EFEM EFEM including a Kawasaki robot 300 mm 1 as is where is immediately
106179 Nanometrics 9000 Nanospec Wafer Metrology film thickness measurement tool 200 mm 01.06.2005 1 as is all rebuilt immediately
106823 Nanometrics Nanospec 9100 Oxide film thickness measurement (PC missing) 200 mm 1 as is where is immediately
106959 Nanometrics 9000 (Spare Parts) Spectroscopic Reflectometer Spares 01.06.2005 1 as is where is immediately
106960 Nanometrics 9000 (Spare Parts) Computer Nanometrics P/n 7200-2432 Spares 01.06.2005 1 as is where is immediately
107027 NANOMETRICS Caliper Mosaic Overlay measurement System 300 mm 01.08.2010 1 inquire immediately
109113 Nanometrics LynX 9010T Optical CD Measurement (Scatterometry) system 300 mm 01.09.2008 1 as is where is immediately
77197 Nanyang Quartz wafer boat Shell boat 200mm quartz 200mm 6 as is where is immediately
69868 National Instruments GPIB120A GPIB BUS EXPANDER / ISOLATOR TEST 31.05.1998 8 as is where is immediately
84369 NC NOR-CAL PRODUCTS 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE 01.03.1990 1 as is where is immediately
83887 NEC C1OT 6D TA 0100 THERMOSTAT 01.06.2004 1 as is where is immediately
83888 NEC MU1238B-11B AIRFLOW ROTATION 1 as is where is immediately
83889 NEC MF300-02 MIST FILTER MF300-02 1 as is where is immediately
91143 NEC NEC SL-473F Si Wafer Marker 1 as is where is immediately
83545 Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply FOR Advantest T5335P Spares 1 as is where is immediately
83546 Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
74168 Neslab RTE-110 Benchtop Laboratory chiller Spares 01.06.1995 1 as is where is immediately
107009 NESLAB HX+75 A/C Process Module Chiller Facilities 01.06.1995 1 as is where is immediately
99382 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
99383 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
109045 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2003 1 as is where is immediately
109046 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2004 1 as is where is immediately
108030 Nextest Systems Corporation MAGNUM ICP 1280 Memory Tester TEST 01.05.2010 1 as is where is immediately
108032 Nextest Systems Corporation MAGNUM II ICP 1280 Memory Tester TEST 01.04.2010 1 as is where is immediately
108771 NICOLET Magna 550 FT-IR Spectrophotometer Laboratory 01.05.1993 1 as is all rebuilt immediately
18867 NIKON 204402 Operations manual NSR-1755I7A 1 as is where is immediately
32206 NIKON RETICLE BOX 5 INCH 5 INCH RETICLE BOX 5 INCH 2 as is where is immediately
91377 NIKON NSR-204B 248 nm (KrF) excimer exposure system 200 mm 01.06.2000 1 as is where is immediately
98484 Nikon ECLIPSE L150 Microscope 100/150mm 1 as is where is immediately
98485 Nikon Optiphot 150 Microscope Inspection Station 100/150mm 3 as is where is immediately
103456 Nikon NWL860-TBM Wafer Auto Loader 150 mm, 200 mm 1 as is where is immediately
106503 Nikon Auto Wafer Loader for Microscope Inspection WAFER INSPECTION MICROSCOPE 150 mm/200 mm 1 as is where is immediately
106956 Nikon 4S061-688-5 X Linear Motor Stage Amp SPARES 1 as is where is immediately
108090 Nikon Irradiance Meter included i-line sensor spares 1 as is where is immediately
108095 Nikon R1505A test reticle spares 2 as is where is immediately
108643 Nikon Eclipse L200 Wafer Inspection Microscope 150 mm/200 mm 01.06.2006 1 as is where is immediately
108772 Nikon Optistation 3 Wafer Inspection System 150 mm 01.05.1994 1 as is where is immediately
108784 Nikon Optistation 3A Automatic Wafer Inspection Station 200 mm 01.05.1997 1 as is where is immediately
108785 NIKON Optiphot 200 (For spares use) Wafer Inspection Microscope (Parts Tool Only) 200 mm 1 as is where is immediately
108789 Nikon Optiphot 200 Wafer Inspection Microscope 200 mm 1 as is all rebuilt immediately
108790 NIKON Optiphot 88 Wafer Inspection Microscope 200 mm 1 as is where is immediately
108904 Nikon NSR-S609B ArF 193 nm scanner (Scanner) 300 mm 01.05.2005 1 as is where is immediately
108923 Nikon NES1-H04 Mini stepper 100 mm 01.05.2011 1 as is where is immediately
108965 Nikon A1R Confocal Laser Scanning Microscope with Prior Proscan III automated stage Laboratory 1 as is where is immediately
109051 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1999 1 as is where is immediately
109052 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1998 1 as is where is immediately
109057 Nikon NSR-S307E 193 nm (ArF) excimer exposure system 300 mm 1 as is where is immediately
109072 Nikon NSR-S208D 248 nm (KrF) excimer exposure system 300 mm 01.06.2010 1 as is where is immediately
109278 Nikon NSR2205 i14E i-line stepper 200 mm 01.05.2000 1 as is where is immediately
109536 Nikon NSR-SF100 i-line scan-field Stepper 200 mm 01.06.2003 1 as is all rebuilt immediately
110610 NIKON OptiStation 3 Wafer Inspection Microscope 200 mm 1 as is where is immediately
110618 NIKON Optistation 3 Wafer Inspection Microscope 01.07.1994 1 as is where is immediately
110663 Nikon NSR-SF140 I-LINE SCANNER 300 mm 1 as is where is immediately
110664 Nikon NSR-S204B 248 nm (KrF) excimer exposure system 200 mm 1 as is where is immediately
110690 Nikon NSR 2205 I11D i line Stepper 200 mm 01.06.1997 1 as is where is immediately
110691 Nikon NSR 2205 I9C i line Stepper 200 mm 01.06.1994 1 as is where is immediately
110692 Nikon NSR S204B 248 nm DUV Scanner 200 mm 1 as is where is immediately
110695 Nikon NWL 860 TMB Wafer Inspection Microscope with wafer autoloader 150 mm, 200 mm 1 as is where is immediately
110729 Nikon NSR-S204B Photo-lithography 248 NM DUV Scanner with photo-track 300 MM 01.06.2002 1 as is where is immediately
110791 Nikon OptiStation 3200 Fully Automated Wafer Inspection Microscope 300 mm 1 as is where is immediately
111339 Nikon NSR-SF200 KrF Excimer Scan-Field Stepper 150 mm 01.06.2003 1 as is where is immediately
111344 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2008 1 as is where is immediately
111345 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2011 2 as is where is immediately
111396 Nikon R2205H Set of test reticles spares 4 as is where is immediately
105867 Nisshin 7 kgf/cm2 pressure gauge spares 1 as is where is immediately
105868 Nisshin 4 kgf/cm2 pressure gauge spares 1 as is where is immediately
105869 Nisshin 0-76 cmHg vacuum gauge spares 1 as is where is immediately
105870 Nisshin 0-1 kgf/cm2 pressure gauge spares 1 as is where is immediately
93833 Nissin Exceed 2000 Medium Current Ion Implanter 3, 4 and 5 inch 01.05.1997 1 as is where is immediately
108911 Nissin Exceed 3000AH Medium Current Implanter 300 mm 01.05.2007 1 as is where is immediately
83906 NITROGEN PRESSURE VALVE 30.11.1992 1 as is where is immediately
83628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3 as is where is immediately
32210 Nitto HR8500-2 INSTRUCTION MANUAL MANUAL 1 as is where is immediately
32214 Nitto 44941001 TAPE SPOOL PARTS 2 as is where is immediately
83597 Nitto TT1R2-1 teach pendant for robot TT1R2-1 spares 1 as is where is immediately
98486 Nitto MA 3000 II Wafer tape mounter and demounter 200 mm/300 mm 01.03.2007 1 as is where is immediately
110688 NITTO DR8500 II Wafer Taper 200 mm 01.06.1996 1 as is where is immediately
110689 NITTO HR8500 II Wafer De-Taper 200 mm 01.06.1996 1 as is where is immediately
110730 Nitto DR-8500-II Wafer taper 150-200 mm 01.02.2001 1 as is where is immediately
110731 Nitto HR-9300 Wafer De-taper 200-300 mm 01.02.2015 1 as is where is immediately
110732 Nitto HR-8500-II Wafer de-laminator 150-200 mm 01.07.2000 1 as is where is immediately
70301 NK RM 120 REAL TIME MONITOR SPARES 1 as is where is immediately
83937 Norgren M/50/EAN/5V electronic switch 1 as is where is immediately
108033 NOVA NOVASCAN 3090 P/N 390-10000-11 CMP metrology system 300 mm 1 as is where is immediately
108967 NOVA T600 MMSR Ellipsometer for CD and thin film measurements 300 mm 01.01.2018 1 as is where is immediately
109283 NOVA MEASURING Novascan Novascan CMP Endpoint measurement unit 200 mm 01.06.2000 1 as is where is immediately
109284 NOVA MEASURING Novascan Novascan CMP Endpoint measurement unit 200 mm 01.06.2000 1 as is where is immediately
70300 Novascan 945-00666-00 HALOGEN LAMP SPARES 2 as is where is immediately
90146 NOVELLUS GAMMA 2100 asher / PR STRIP 30.11.2002 1 as is where is immediately
108581 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
108582 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
108583 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
109288 Novellus C3 Vector UV Cure UV Cure 300 mm 01.06.2006 1 as is where is immediately
109497 NOVELLUS TOP MATCH RF GENERATOR Spares 1 as is where is immediately
111366 Novellus Concept Two Altus W-CVD Nitride 2 Chamber 1 as is where is immediately
111374 NP Test NPR-505L Power Plasma Reactor 1 as is where is immediately
111375 NP Test NPR-507L Power Plasma Reactor 1 as is where is immediately
83552 NSK GLOBAL LTD MAEBASHI PLANT BALL SCREW W1201-112P 28.02.2005 4 as is where is immediately
103384 Nuclear Elettronica 314E 5/12 - 6/2 rev A Power Supply, 5V/12A - 6V/2A Spares 14 as is where is immediately
103385 Nuclear Elettronica 314E.100 REV A Power Supply, 5V/20A Spares 10 as is where is immediately
103387 Nuclear Elettronica 312E.44L REV A Dual Power Supply, +/- 15V / 1A Spares 4 as is where is immediately
83905 NUPRO SS-4BK-V51 REGULATOR PRESSURE Spares 1 as is where is immediately
83914 NUPRO SS-4R3A1- TUBE FITTINGS & VALVE 1 as is where is immediately
83916 NUPRO 7 MICRON 1 as is where is immediately
83917 NUPRO 107 1 as is where is immediately
103439 Oerlikon Clusterline 200 PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) 200 mm 01.05.2005 1 as is where is immediately
65934 Olympus BH2-UMA BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES SPARES 1 as is where is immediately
80254 OLYMPUS DBAP-FA-Z SERVO DRIVER 30W 500 PPR 1 as is where is immediately
83835 Olympus WHK 10X/20L-H EYEPIECES FOR TRINOCULAR MICROSCOPE Spares 2 as is where is immediately
102638 Olympus BA124L001 DC MOTOR W/ D500 GEAR HEAD spares 1 as is where is immediately
102639 Olympus BA124L001 DC MOTOR W/ D500 GEAR HEAD spares 1 as is where is immediately
106190 Olympus LH50A Microscope illuminator, 50W 12 V spares 1 as is where is immediately
106201 Olympus BH3 (Parts) Camera adapter and illuminator for Microscope spares 01.06.2005 1 as is where is immediately
106825 Olympus AL110-LMB6 with MX51 wafer loader with Microscope 150mm 1 as is where is immediately
108786 OLYMPUS BH-BHM Wafer Inspection Microscope 150 mm 1 as is where is immediately
109035 Olympus AL110N-LMB6 Wafer Inspection Microscope with autoloader 100 MM AND 150 mm 1 as is where is immediately
109058 Olympus AL110N-LMB6 Microscope autoloader only (Not including the microscope) 100 MM AND 150 mm 1 as is where is immediately
110696 Olympus AL100-L6 Wafer autoloader 100MM TO 150 MM 1 as is where is immediately
110697 Olympus AL100-LB6 Wafer autoloader 100MM TO 150 MM 2 as is where is immediately
111400 Olympus KLA 1500 LCD Cold light source with ring light and Adapter ring for different microscope sizes Assembly 4 as is where is immediately
111401 Olympus Highlight 2100 Cold light source with ring light Assembly 1 as is where is immediately
111402 Olympus Highlight 2001 Cold light source with ring light Assembly 1 as is where is immediately
80247 Olympus Optical DBAP-FA-Z GA Servo Driver 1 as is where is immediately
106896 Omniguard 860UV-IR UV-IR Fire detector, w/ mount Spares 2 as is where is immediately
83886 OMRON TL-W5WC2 PROXIMITY SWITCH 1 as is where is immediately
84386 OMRON E3C-DM2R 2 M PHOTOELECTRIC SWITCH 2 m 3 as is where is immediately
84395 OMRON E3C-C PHOTOELECTRIC SWITCH 1 as is where is immediately
84396 OMRON E5CJ TEMPERATURE CONTROLLER MULTI RANGE 1 as is where is immediately
84397 OMRON E3S-X3CE4 TEMPERATURE CONTROLLER 2 as is where is immediately
84398 OMRON E3XR-CE4 TEMPERATURE CONTROLLER 2 as is where is immediately
84399 OMRON E2E-C1C1 PROXIMITY SWITCH 1 as is where is immediately
84400 OMRON E3S-XE1 PHOTO ELECTRIC SWITCH 1 as is where is immediately
84401 OMRON E3C-JC4P PHOTO ELECTRIC SWITCH 1 as is where is immediately
84402 OMRON E3X-A11 PHOTO ELECTRIC SWITCH 1 as is where is immediately
84403 OMRON E3HT--DS3E2 PHOTO ELECTRIC SENSOR 1 as is where is immediately
84404 OMRON E3C--JC4 AMPLIFIER UNIT 1 as is where is immediately
84405 OMRON EE-SPW321 PHOTO MICROSENSOR 1 as is where is immediately
84406 OMRON E32-TC200A PHOTO ELECTRIC SWITCH 1 as is where is immediately
21666 ONE AC CORP FMV 321S TRANSFORMER FOR KLA 7700 SERIES PSU SPARES 01.02.1996 1 inquire immediately
21123 ORIEL 68805 POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP FACILITIES 01.06.1992 1 as is where is immediately
83620 ORIEL 68805 ULTRAVIOLET LIGHT - LAMP HOUSING SPARES 1 as is where is immediately
13066 ORIENTAL MOTOR SEE COMMENTS GEAR HEADS 7 as is where is immediately
13206 ORIENTAL MOTOR 4LF45N-2 LINEAR HEAD SPARES 1 as is where is immediately
15900 ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR 2 as is where is immediately
69817 Oriental Motor VEXTA PK564-NAC Brand New 5-Phase Stepping Motor, 0.72 degree/step, with driver unit Spares 01.06.1996 1 as is where is immediately
83629 ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR SPARES 1 as is where is immediately
83805 ORIENTAL MOTOR 21K6GN-A TW9 50602 1 as is where is immediately
83806 ORIENTAL MOTOR 51K40GN-AT 1 as is where is immediately
83807 ORIENTAL MOTOR PB204-101 2 as is where is immediately
83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1 as is where is immediately
83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 01.12.1993 1 as is where is immediately
83811 ORIENTAL MOTOR XU9 MOTOR ELECTRIC FO FR CARRIER MOTOR 1 as is where is immediately
83823 ORIENTAL MOTOR 4GK15K MOTOR ELECTRIC 1 as is where is immediately
83825 ORIENTAL MOTOR MBM425-411 SPEED CONTROL MOTOR 1 as is where is immediately
83834 ORIENTAL MOTOR PH596-A STEPPING MOTOR SPARES 1 as is where is immediately
83875 ORIENTAL MOTOR UPH564-A 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
83893 ORIENTAL MOTOR 4GN30K GEAR HEAD 1 as is where is immediately
109069 OSCOR Blue 24 GHz Specrum Analyzer 0 as is where is immediately
91329 OXFORD 800+ RIE (Reactive Ion Etcher) 1 as is where is immediately
99398 Oxford Micro-etch 300 Dry Etcher 1 as is where is immediately
109097 Oxford Plasmalab System 100 PECVD TEOS with Load Lock 200 mm 01.05.2001 1 as is where is immediately
111358 OXFORD Plasmalab 100 ICP Etcher 1 as is where is immediately
111372 Oxford Plasmalab ICP 380 1 as is where is immediately
111373 Oxford Plasmalab 100 PECVD Etcher Asher 1 as is where is immediately
80259 PALL GLF6101VF4 GASKLEEN GAS FILTER 6 as is where is immediately
83908 PALL GLF6101VF4 GAS FILTER 1 as is where is immediately
103819 Palomar 3500-III Die Bonder Assembly 1 as is where is immediately
77202 Panasonic M91C90GD4W1 AC Geared Motor (G Series) spares 01.12.2008 1 as is where is immediately
83824 PANASONIC MF A 020LATNP AC SERVO MOTOR SPARES 1 as is where is immediately
83838 PARKER 60 SERIES QUICK COUPLING FEMALE COUPLER BH4-60 Spares 9 as is where is immediately
84058 PATLITE SEFW-A SIGNAL TOWER 1 immediately
84232 Pepperl Fuchs OBE5000-18GM70-SE5 proximity sensor Spares 1 as is where is immediately
84234 pepperl&fuchs OBE5000-18GM70-E5-V1 Proximity sensor Spares 1 as is where is immediately
111382 PERKIN ELMER 2400 Sputtering system 1 as is where is immediately
84073 Pfeiffer PTR26761A Pirani Gauge, D-35614 TPR265 Spares 01.06.2001 2 as is where is immediately
109559 Philips PHI 680 Auger Nanoprobe Laboratory 01.05.1998 1 as is where is immediately
109077 Picosun P1000 High Volume ALD system for batch coating of parts Up to 300 mm 01.06.2020 1 as is where is immediately
83587 Pittman GM9213E081 GEAR motor 19.1 vdc 65.5:1 RATIO Spares 1 as is where is immediately
108864 PLASMA-THERM SLR770 Inductively Coupled Etcher with Load-Lock, Refurbished - Call for Details 1 as is all rebuilt immediately
106759 Plasmatherm SLR 770 Dual Chamber R.I.E. 200 mm 01.06.1994 1 as is where is immediately
106953 PLASMATHERM LAPECVD Large Area PECVD system, used for SiO and SiN process depositions 150 mm 01.06.2015 4 as is where is immediately
110613 PlasmaTherm SLR 740 Dual Chamber RIE / Plasma etch 200 mm 01.04.1999 1 as is where is immediately
110683 Plasmos SD2000 Thin Film Thickness Measurement System / Ellipsometer 200 mm 01.06.1998 1 as is where is immediately
83933 PM500 09 P MASK RETICLE 1 as is where is immediately
31246 PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking facilities 01.10.1999 1 as is where is immediately
83615 PMS MICRO LPC-210 MICROLASER PARTICLE COUNTER MALVERN WR 13 LN 31.01.1989 1 as is where is immediately
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 200 mm 31.05.2005 1 as is where is immediately
108714 PolyFlow Triple Tower II Quartz Cleaner 200 mm 1 as is where is immediately
110733 POWATEC 850 Manual Wafer Mounter 01.06.2000 1 as is where is immediately
83565 Power Launch (?) FU100F Valve tube electron tube 01.01.2009 2 as is where is immediately
69870 Power One HPM5A2A2KS234 5V Switching Power Supply TEST 31.05.1998 5 as is where is immediately
69872 Power One HPM5C1C1E1E1H1S240 Switching Power Supply TEST 01.06.1998 1 as is where is immediately
69873 Power One HPM5F2F2KS233 2V Switching Power Supply TEST 01.06.1998 2 as is where is immediately
69874 Power One HPM5E2E2KS228 28 V Switching Power Supply TEST 31.05.1998 2 as is where is immediately
69875 Power One SPM2E1E1S304 28 V Switching Power Supply TEST 31.05.1998 1 as is where is immediately
83506 POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83507 POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.01.1994 1 as is where is immediately
83508 POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83509 POWERTEC ASTEC 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.05.1994 1 as is where is immediately
83510 POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.06.1994 1 as is where is immediately
91356 PSC DES-220-456AVL ASHER 200 mm 1 as is where is immediately
108584 PSK TERA21 Asher 300 mm 01.05.2006 1 as is where is immediately
108586 PSK DAS 2000 Asher 200 mm 01.05.2004 1 as is where is immediately
109564 PSK SUPRA III PHOTORESIST STRIP 300 mm 01.05.2006 1 as is where is immediately
83928 PULNIX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS 01.12.1998 1 as is where is immediately
74251 Qualiflow AFC 50D Mass Flow Controller;Meter,Spectrometer,Gas Mix, control spares 01.11.2009 1 as is where is immediately
110734 Ramgraber SST Solvent wet with heater and spin dryer Up to 300 mm 01.06.2016 1 as is where is immediately
98489 Raytex RXW-0826SFIX-SMIF Wafer edge scanner 200 mm 1 as is where is immediately
84239 Raytheon ELC-14947 Directed light assembly, for ESI Spares 1 as is where is immediately
108787 RECIF IDLW8R Wafer ID Reader - Tabletop for 200mm Wafers 200 mm 2 as is where is immediately
108788 RECIF SPP8 Wafer Transfer for 200mm Wafers 200 MM 1 as is where is immediately
109119 Rectif Sort Batch Flip AHMS Sorter 300 mm 01.06.2010 14 as is where is immediately
110761 RECTIF G3 Wafer Sorter 300 mm 2 as is where is immediately
110792 RECTIF SRT300F01 Wafer Sorter with 4 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
110794 RECTIF SRT300F34 Wafer Sorter with 2 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
77159 Renishaw RGH24X30A00A Encoder Head NEW IN BOX Spares 1 as is where is immediately
108329 ReVera RVX1000 Film Thickness Measurement System 300 mm 1 as is where is immediately
107758 RF Services RFS 500/700 RF Match Spares 1 as is where is immediately
110387 RF Services RFS 3009 ICPSM Match SPARES 1 as is where is immediately
107768 RFPP AM-10 RF Match Spares 1 as is where is immediately
107796 RFPP LF-5 RF Generator Spares 1 as is all rebuilt immediately
109523 RFPP 751031301F RF GENERATOR Spares 2 as is where is immediately
106868 Riber ® MBE 32 Molecular Beam Epitaxy system for R and D Laboratory 01.03.1999 1 as is where is immediately
108194 RIGAKU 3640 WAFER/DISK Analyzer 200 mm 01.06.2000 1 as is where is immediately
98731 Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation Solar 01.06.2008 1 as is where is immediately
106985 Rofin Powerline L100 SHG YAG laser Ablation system 532 nm Solar 01.06.2014 1 as is where is immediately
53053 Rorze RR304L90 Wafer handling robot, with 5 ceramic robot blades 200 mm 01.06.1995 1 as is where is immediately
83881 Rorze BERC-RD023MS 2P MICRO STEP DRIVER VF C5622 3 as is where is immediately
91574 RORZE RSC242 Wafer Sorter / 4Foup type 300 mm 31.05.2002 1 as is where is immediately
91575 RORZE RSC242 Wafer Sorter / 4Foup type 300 mm 31.05.2003 1 as is where is immediately
98490 Rorze RR701L1521-3A3-111-2 Dual arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is immediately
106188 Rorze RR713L1521-3A3-E11-0 Dual arm Atmospheric wafer handling robot with controller Spares 1 as is where is immediately
106826 Rorze RV201 Load Port 300mm 10 inquire immediately
106827 Rorze RR701L1521-3A3-111-3 Dual arm Atmospheric wafer handling robot NA 1 as is where is immediately
106828 Rorze RR713L1521-3A3-E13(E11)-1 Dual arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
106829 Rorze RR717L1521 Dual arm Atmospheric wafer handling robot 300mm 1 as is where is immediately
106830 Rorze Wafer sorter with RR717L1521 robot wafer sorter for 300mm wafer 300mm 3 inquire immediately
81835 Rosemont Analytical 1055-01-11-22 PH Monitor Solucomp II 1 as is where is immediately
56813 Roth & Rau SiNA (Spare Parts) Spare Parts from PECVD system for deposition of Silicon Nitride Solar 01.10.2010 1 as is where is immediately
91566 Rudolph WS3840 3D Bump Metrology 300 mm 31.05.2010 1 as is where is immediately
91567 RUDOLPH META PULSE 200 Surface Film Metrology 200 mm 31.05.2002 1 as is where is immediately
98835 RUDOLPH AXI-S Macro Wafer Inspection 300 mm 01.06.2004 1 as is where is immediately
98837 RUDOLPH MP1-300XCU Film Thickness Measurement System 300 mm 30.04.2008 1 as is where is immediately
103555 RUDOLPH MP3 300XCU FLIM THICKNESS MEASUREMENT SYSTEM 300 mm 1 as is where is immediately
106420 Rudolph NSX 115 Automated Defect Inspection 200 mm 1 as is where is immediately
106421 Rudolph NSX 95 Automated Macro Defect Inspection 150 mm/200 mm 1 as is where is immediately
106422 Rudolph NSX-105 Automated Defect Inspection 150 mm/200 mm 1 as is where is immediately
106424 Rudolph NSX-95 Automated Macro Defect Inspection 150 mm/200 mm 1 as is where is immediately
106425 Rudolph NSX-95 Manual Macro Wafer Defect Inspection 150 mm/200 mm 1 as is where is immediately
106720 RUDOLPH NSX 105 MACRO DEFECT INSPECTION SYSTEM 300 mm 01.06.2008 1 as is where is immediately
106831 Rudolph MP200XCU Cu Film thickness measurement 200 mm 1 as is where is immediately
106832 Rudolph MP-300 Metal Film thickness measurement 300mm 1 as is where is immediately
106833 Rudolph MP300 XCu Cu Film thickness measurement 300 mm 2 as is where is immediately
106871 RUDOLPH NSX 105 Automated Wafer, Die and Bump Inspection System 300 mm 01.06.2008 1 as is where is immediately
108587 RUDOLPH WV320 Wafer Inspection System 300mm 1 as is where is immediately
109550 Rudolph AXI-S Macro Defect Inspection System 300 mm 01.06.2006 1 as is where is immediately
109570 Rudolph WaferView 320 Macro Wafer Defect Inspection System 300 mm 1 as is where is immediately
110735 Rudolph MetaPulse 200 Metal film measurement system 150-200 mm 01.06.2006 1 as is where is immediately
110736 Rudolph MetaPulse 200X Cu Metal film measurement system 150-200 mm 01.06.2006 1 as is where is immediately
110795 Rudolph S300D Ultra II Thin Film Measurement Tool / Ellipsometer 300 mm 01.05.2005 1 as is where is immediately
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester SMT 31.05.2004 1 as is where is immediately
98495 SAMCO PD-3800 LED – pecvd system 50mm to 200mm 01.10.1997 2 as is where is immediately
98496 SAMCO RIE-212 IPC LED Reactive Ion Etcher 50/100mm 1 as is where is immediately
84373 SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM 2 as is where is immediately
79889 Sanitas EG Multilevel EPROM Programmer Electronics Test and Measurement 1 as is where is immediately
86303 Sankei Giken TCW-12000 CV Process Module Chiller Facilities 01.07.1996 1 as is where is immediately
106206 SANYO DENKI RBA2C-202 SERVO DRIVER MODULE Spares 2 as is where is immediately
83634 SCANLAB RTC 2 Type XY-01 PC INTERFACE BOARD 20-TVS 31.07.2006 2 as is where is immediately
83836 SCFH AIR 100 PSIG 1 as is where is immediately
100709 Schroff PSM 115 Power Supply Unit Spares 01.05.2007 10 as is where is immediately
107012 SEC Semiconductor Equipment Corp. 3100 Manual wafer taper 150 mm 01.07.2002 2 as is where is immediately
84387 SEEKA UM-T50DT PHOTO SENSOR 5 as is where is immediately
21521 Seiko SDI 4000 operation manual for SDI 4000 Semiconductor process evaluation SEM manual 01.06.1995 1 as is where is immediately
52191 Seiko Seiki SCU 301H Turbo Pump Controller Unit spares 01.06.1999 1 as is where is immediately
71921 Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump spares 01.10.1999 1 as is where is immediately
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 200 mm 01.06.2006 13 as is where is immediately
77185 Semco C60 Cleanstar PFA Valve C608075305A12HPW C60 HPW 1 as is where is immediately
77189 Semco 156 MM WAFER BOAT Solar Wafer (156mm) Quartz Rack/Holder for a Furnace 156mm 01.06.2010 6 as is where is immediately
77191 Semco Wafer Boat Solar Wafer (156mm) Quartz wafer boat 156mm 9 as is where is immediately
77198 Semco wafer boat Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace 156mm 3 as is where is immediately
77208 Semco Wafer Boat Solar Wafer Quartz wafer boat, 5" 156mm 3 as is where is immediately
108588 SEMICS OPUS2 FULLY AUTOMATED PROBER 300mm 01.06.2007 1 as is where is immediately
108589 SEMICS OPUS2 FULLY AUTOMATED PROBER 300mm 01.06.2007 1 as is where is immediately
108590 SEMICS OPUS2 FULLY AUTOMATED PROBER 300mm 01.06.2007 1 as is where is immediately
108591 SEMICS OPUS2 FULLY AUTOMATED PROBER 300mm 01.06.2007 1 as is where is immediately
108592 SEMICS OPUS3 FULLY AUTOMATED PROBER 300mm 01.06.2011 1 as is where is immediately
108593 SEMICS OPUS3 FULLY AUTOMATED PROBER 300mm 01.06.2011 1 as is where is immediately
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
84342 Semitool ST-921R-AA Spin Rinse Dryer 1 as is where is immediately
84351 Semitool ST-240 Spin Rinse Dryer 1 as is where is immediately
84364 Semitool PA7230M SRD Rotor spares 1 as is where is immediately
84365 Semitool A72-20M SRD Rotor Spares 1 as is where is immediately
106527 Semitool SAT2081D2PCCU OEM SAT Spray Acid Etch Tool Clamshell 1 as is where is immediately
106946 Semitool ST-921R-AA Spin Rinse Dryer 100 mm 2 as is where is immediately
108974 Semitool PSC 101 Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
109285 Semitool Equinox Cu Cu Plating tool with 4 plating chambers and 5 preclean chambers 200 mm 01.06.1999 1 as is where is immediately
108715 Semix Tazmo SOG track 150 mm 1 inquire immediately
78169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 200 mm 31.05.2003 1 as is where is immediately
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 200 mm 01.07.2003 1 as is where is immediately
107898 Seren L301 RF Generator Spares 1 as is where is immediately
107903 Seren L601 RF Generator Spares 1 as is where is immediately
110477 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110478 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110480 Seren I1827MWF Generator SPARES 1 as is where is immediately
110481 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
106516 SFI Endeavor AT PVD cluster tool 150 mm 1 as is where is immediately
106517 SFI Endeavor AT PVD cluster tool 150 mm 01.06.1990 1 as is where is immediately
77161 Shimaden SR91-8P-90-1N0 Temperature Regulator Spares 1 as is where is immediately
108960 Shin-Etsu MW 200 Wafer Transportation Box 8" Spares 200 MM 50 as is where is immediately
111406 Shin-Etsu MW 200 Wafer Transportation Box 12" Spares 200 MM 12 as is where is immediately
83548 Shindengen SDC05150G 5V 150 A Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
108594 SHINKAWA COF 300 Flip Chip Bonder Assembly 01.05.2005 5 as is where is immediately
108595 SHINKAWA UTC-2000 SUPER Wire Bonder Assembly 01.05.2008 1 as is where is immediately
108596 SHINKAWA UTC-1000 SUPER Wire Bonder Assembly 01.05.2007 21 as is where is immediately
108597 SHINKAWA UTC-3000WE Wire Bonder Assembly 01.05.2012 1 as is where is immediately
105873 Shinmei Keiki 0-1 kgf/cm2 pressure gauge spares 2 as is where is immediately
76610 SHOWA 341 Laboratory Power Supply - 4 channel laboratory 01.05.1998 1 as is where is immediately
76611 SHOWA ELECTRONICS 511-16 REGULATED DC POWER SUPPLY laboratory 1 as is where is immediately
106533 Sikama Falcon 8500 REFLOW OVEN SMT 01.06.2015 1 as is where is immediately
106878 Singulus Singular XP ICP PECVD system for solar cells production Solar 01.06.2015 3 as is where is immediately
84237 SKF 6002-2Z bearings Spares 1 as is where is immediately
77152 SMC RL17858 1030567 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* 01.01.2008 1 as is where is immediately
77157 SMC ZX1101-K15LZB-D21L-X121 Vacuum GENERATOR ZXF35 Spares 1 as is where is immediately
77163 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
83547 SMC IRS_056/09/GT RODLESS PNEUMATIC CYLINDER *NEW* 01.01.2008 1 as is where is immediately
83612 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
83632 SMC MHF2-12D1R SMC cylinder 1 as is where is immediately
83839 SMC CDQSWB20-35DC COMPACT CYLINDER Spares 3 as is where is immediately
83840 SMC ECQ2B32-10DC ACTUATOR, 32MM CQ2 DOUBLE-ACTING Spares 3 as is where is immediately
83842 SMC CQ2B25-25D ACTUATOR CYLINDER Spares 5 as is where is immediately
83843 SMC CQ2B25-20DC ACTUATOR, CQ2 COMPACT CYLINDER Spares 3 as is where is immediately
83844 SMC ECDQ2B32-30D CQ2 COMPACT CYLINDER Spares 2 as is where is immediately
83845 SMC ECDQ2B32-50D COMPACT CYLINDER Spares 1 as is where is immediately
83867 SMC MXS16-30 AS cyl, slide table, MXS/MXJ GUIDED CYLINDER SPARES 1 as is where is immediately
83868 SMC CDQ1B32-40D CYL compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
83869 SMC CDQ1B40-20DM CYLINDER compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
83872 SMC DF9N VALVES SPARES 2 as is where is immediately
84214 SMC ULUSP-00005 PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16 Spares 2 as is where is immediately
84225 SMC CY 4R08 CY3B15-300 CYLINDER Spares 1 as is where is immediately
84236 SMC ZPT25US-B5 Suction cups Spares 1 as is where is immediately
84256 SMC WO 36517 RODLESS CYLINDER 01.02.1994 1 as is where is immediately
84259 SMC CMFN20-50 AIR CYLINDER 1 as is where is immediately
84262 SMC CDGBN20-204 AIR CYLINDER 3 as is where is immediately
84263 SMC CDM2BZ20-125 AIR CYLINDER 2 as is where is immediately
84264 SMC CMFN20-50 AIR CYLINDER 1 as is where is immediately
84267 SMC CDM2RA20-190 AIR CYLINDER 1 as is where is immediately
84268 SMC CDG1FA20-222 AIR CYLINDER 1 as is where is immediately
84269 SMC CDJ2F16 AIR CYLINDER 1 as is where is immediately
93407 SMC INR-341-59B CHILLER Chiller 1 as is where is immediately
93410 SMC INR-499-201 Chiller Chiller 1 as is where is immediately
105839 SMC INR-498-050 Single loop chiller FACILITIES 1 as is where is immediately
109031 SMT Max QM3000 Automatic Pick and Place Machine SMT 01.04.2022 1 as is where is immediately
73208 Solitec 5110C Manually loading Photoresist Spin Coater 3 to 9 inch 01.09.1998 1 as is where is immediately
111384 SONIX HS-1000 Scanning Acoustic Microscope Laboratory 01.06.2010 1 as is where is immediately
53268 Sorensen SS200-S0120 Power Supply Megatest Part number 113849 Spares 31.05.1994 3 as is where is immediately
83505 SORENSEN 220 VOLTS POWER SUPPLY 220 VOLTS 30.11.1994 3 as is where is immediately
84366 Sosul Etch Kit 6" Etch kit for Sosul 2300 6" 1 as is where is immediately
7689 Special Optics Beam Enlarger for Argon Ion Laser Beam Enlarger for Argon Ion laser parts 01.06.1992 2 as is where is immediately
7690 Special Optics Fourier Transform Lens Fourier transform Lens for Argon Ion laser parts 01.06.1992 2 as is where is immediately
53037 Special Optics Half silvered mirror 10" X 14" spares 1 as is where is immediately
53038 Special Optics Custom Motorized Iris 6" spares 1 as is where is immediately
53039 Special Optics Mirror, 9" X 7" spares 1 as is where is immediately
53040 Special Optics APOD #113 spares 1 as is where is immediately
53043 Special Optics Beam expander spares 1 as is where is immediately
102623 SPTS Omega 201 Plasma Dry etcher (For spares use) 200 mm 01.05.2010 1 as is where is immediately
110512 SPTS AS314055-03 Match SPARES 1 as is where is immediately
84414 SQUARED SBO-2 SWITCH 3536 3 as is where is immediately
106742 SSM Fastgate 5200 Resistivity / CV Measurement 200 mm 01.06.2008 1 as is where is immediately
110780 SSM 5130 Hg-CV Hg-CV measurement system up to 12 inch 01.01.2004 1 as is where is immediately
71908 ST Automation PTM1 Flash Memory Tester Test 3 as is where is immediately
71910 ST Automation MT32SX Flash Memory Test System for 256 MB memory testing TEST 31.05.2008 1 as is where is immediately
78133 ST Automation QT200 Test System test 31.05.2007 1 as is where is immediately
78137 ST Automation QT200 Tester System with monitor test 1 as is where is immediately
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation test 31.03.2007 1 as is where is immediately
80177 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80178 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80179 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80180 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80181 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80182 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80183 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80184 ST Automation QT EPR16 DD Automated Flash Memory Tester System with monitor TEST 30.09.2007 1 as is where is immediately
86279 ST Automation MT32SX Flash Memory testing System TEST 30.06.2005 1 as is where is immediately
86280 ST Automation PT-M1 Automated Test System Test 1 as is where is immediately
86670 ST Automation QT200 Automated Test System TEST 31.05.2005 1 as is where is immediately
93822 ST Automation EPR88 Automated Test System TEST 31.05.2005 1 as is where is immediately
93865 ST Automation QT 200 epr 88 Flash Memory Testing System Test 31.05.2005 1 as is where is immediately
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories TEST 30.11.2005 1 inquire immediately
99969 ST Automation QT200 Automated Tester System with monitor test 31.05.2005 1 as is where is immediately
101848 ST Automation MT32SX Automated Flash Memory Testing System test 31.05.2007 1 as is where is immediately
102494 ST Automation MT32SX Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY TEST 30.09.2007 1 as is where is immediately
84376 STARTECH GC9SF GENDER CHANGER 1 as is where is immediately
84297 Staubli 308998-001 RX90 robot controller spares 1 as is where is immediately
84022 Sun Ultrasparc 60 Unix computer from Teradyne J994 Test 31.12.1996 1 as is where is immediately
84023 Sun Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994 Test 01.01.1997 1 as is where is immediately
84380 SUNX SS-A5 SENSOR CONNECTIONS 6 as is where is immediately
84381 SUNX CX-21/FX/SU SENSOR SYSTEM C8 6 as is where is immediately
84382 SUNX SU-7 LO SENSOR & SYSTEM HB 012 3 as is where is immediately
84383 SUNX GSA-5S QUALITY PROXIMITY SENSOR HB 012 2 as is where is immediately
84384 SUNX SS-AT1 / SS2-300E SENSOR SYSTEM 2 as is where is immediately
84385 SUNX SH-21E SENSOR SYSTEM 1 as is where is immediately
69782 Super vexta udk5114n 5-phase driver 1 as is where is immediately
70302 SURPASS PTC 3/8 NU PRESSURE SENSOR FOR EBARA FREX 200 SPARES 1 as is where is immediately
98497 SUSS ACS200 Photoresist coater and developer track, 1C, 1 D 200 mm 1 as is where is immediately
106535 SUSS Microtec ACS200 Automated Photoresist Coater 200 mm 1 as is where is immediately
106536 SUSS Microtec ACS200 Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106537 SUSS Microtec ACS200 Classic Automated Photoresist Coater 200 mm 1 as is where is immediately
106538 SUSS Microtec ACS200 Classic Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106539 SUSS Microtec ACS200 Plus Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106541 SUSS Microtec MA200 MASK ALIGNER 150 mm/200 mm 01.06.1999 1 as is where is immediately
108299 Suss MicroTec CB200 High Pressure Wafer Bonding Chamber 200 mm 01.06.2012 1 as is where is immediately
110737 Suss MicroTec Falcon Polyimide Photo-resist Developer Track, 2D 150-200 mm 01.06.1996 1 as is where is immediately
110805 SUSS Microtec MA200CO Mask Aligner with topside alignment 01.07.2014 0 as is where is immediately
106954 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.2004 1 as is where is immediately
106955 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.1998 1 as is where is immediately
87367 SVG 99-46450-01 9200SE SVG ASML 90 track Z-robot Robot 1 as is all rebuilt immediately
72155 SVG /ASM 128197-001 HEATER ELEMENT, HCGI Spares 31.01.2003 1 as is where is immediately
106941 SVG Thermco 168150-002 REV 3 S13 RELAY BOARD PCB Spares 1 as is where is immediately
106942 SVG Thermco 606200-01 REV 3 S5 WAF CRT MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
106943 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
108979 SVG Thermco 606314-02 REV E Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108980 SVG Thermco 606314-02 REV 1 Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108982 SVG Thermco 606180-01 rev 04 WTU MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
108983 SVG Thermco 168160-001 REV 4 PCB, ANALOG ATMOSPHERE APL Spares 01.06.1998 1 as is where is immediately
108984 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL INTERFACE PCB Spares 01.08.2001 1 immediately
108985 SVG Thermco VMEXB12D-CS VMEBus J1/J2 Common Substrate Extender Board Spares 01.06.2004 1 as is where is immediately
108986 SVG Thermco 165220-001 REV B EXTENDER BOARD Spares 1 as is where is immediately
83909 SWAGELOK 12M06 TUBE FITTINGS & VALVE 9 as is where is immediately
83910 SWAGELOK 55-8-VCO-4 TUBE FITTINGS 5 as is where is immediately
83911 SWAGELOK SS-4-VCO-3 TUBE FITTINGS 15 as is where is immediately
83912 SWAGELOK SS-4-VCO-4 TUBE FITTINGS 9 as is where is immediately
83913 SWAGELOK SS-605-4 TUBE FITTINGS 12 as is where is immediately
83915 SWAGELOK GLV-4MW-3 WELD FITTINGS L-606A 2 as is where is immediately
83919 SWAGELOK 207/235/332 TUBE FITTINGS 4 as is where is immediately
80257 Swagelok / CAJON SS-6-VC0 FITTINGS spares 8 as is where is immediately
33413 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. TEST 01.05.2006 1 as is all rebuilt immediately
33414 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. test 01.05.2006 1 as is all rebuilt immediately
79888 System General T9600 Universal Device Programmer Electronics Test and Measurement 31.05.2003 1 as is where is immediately
79890 Systron Donner DL 40 - 2A Powe Supply - single and dual voltage 1 as is where is immediately
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 30.11.2005 1 as is where is immediately
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 30.11.2005 1 as is where is immediately
71165 Taitec TEX 25C HEAT EXCHANGER FACILITIES 01.07.2000 2 as is where is immediately
106544 Takatori ATM-1100E Film Laminator - Taping Machine 150 mm/200 mm 01.06.1995 1 as is where is immediately
27748 TAYLOR-WARTON LD 25 DEWAR FOR CRYOGENIC FLUIDS 1 as is where is immediately
109015 Tazmo/Semix TR 6133UD Photoresist Coater and Developer tRACK, SOG type 100 mm to 150 mm 2 as is where is immediately
83522 TDK E S R 05-12R-3 SWITCHING REGULATOR NEC CORPORATION 1 immediately
106834 TDK load port E3, E4, E4A, F1 30 0mm 16 as is where is immediately
84502 TED PELLA INC CAT 622 M TIN SPHERES ON CARBON 17.8mm Mount 2 as is where is immediately
106150 Tegal 903E Dry Etcher 150 mm 1 as is all rebuilt immediately
106151 TEGAL 900 Plasma dry etch 100 mm 01.10.1984 1 as is where is immediately
106152 TEGAL 903E Plasma dry etch 100 mm 01.06.1985 1 as is where is immediately
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope Electronics Test and Measurement 31.07.2007 1 as is where is immediately
79590 Tektronix TDS 544A Color 4 channel digitizing oscilloscope Electronics Test and Measurement 31.05.2006 1 as is where is immediately
79597 Tektronix PS 280 DC Power supply (Working condition) Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79599 Tektronix 11801C Digital Sampling Oscilloscope Electronics Test and Measurement 31.01.2001 1 as is where is immediately
79601 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB Electronics Test and Measurement 1 as is where is immediately
108598 TEKTRONIX TDS720P Oscilloscope 1 as is where is immediately
111341 TEL (Tokyo Electron Ltd) ACT 8 (Parts) Carrier Send Block, SMIF 200 mm 01.06.2001 1 as is where is immediately
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 150 mm 01.11.1992 1 as is where is immediately
18890 TEL TOKYO ELECTRON 201345 Operations manual 1 as is where is
18891 TEL TOKYO ELECTRON 201336 Operations manual 1 as is where is
18892 TEL TOKYO ELECTRON 201341 Operations manual 1 as is where is
18893 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
18894 TEL TOKYO ELECTRON 201339 Operations manual 1 as is where is
18895 TEL TOKYO ELECTRON 201335 Operations manual 1 as is where is
18896 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
18897 TEL TOKYO ELECTRON 201346 Operations manual 1 as is where is
21135 TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL SPARES 1 as is where is immediately
77089 TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly Spares 1 as is where is immediately
83832 TEL Tokyo Electron 028-016314-1 FITTING TUBE...1016-0 8 SPARES 1 as is where is immediately
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 300 mm 1 as is where is immediately
87287 TEL Tokyo Electron TELFORMULA Nitride Vertical LPCVD Furnace 300 mm 31.05.2004 1 as is where is immediately
91245 TEL TOKYO ELECTRON TRIAS CHAMBER ONLY LT TIN (N2/NH3/N2/CIF3) 300 mm 1 as is where is
91255 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
91256 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
91258 TEL TOKYO ELECTRON ALPHA-303i K type 300 mm 1 as is where is
91259 TEL TOKYO ELECTRON Telformula(ver.0) optimal thermal processing 300 mm 1 as is where is
91341 TEL TOKYO ELECTRON TELIUS SCCM T-3044SS DRY ETCHER 300 mm 31.05.2007 1 as is where is
93040 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2009 1 as is where is
93041 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
93042 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
93059 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93060 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93062 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2004 1 as is where is
93063 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93064 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
93065 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
96386 TEL Tokyo Electron Lithius Lithography Coater Developer 31.05.2007 0 as is where is immediately
98299 TEL Tokyo Electron FORMULA Vertical LPCVD Furnace 300 mm 1 as is where is
98302 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2006 1 as is where is
98303 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2004 1 as is where is
98304 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
98305 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
98846 TEL Tokyo Electron VIGUS Mask Dielectric Etch 300 mm 30.04.2009 1 as is where is immediately
98847 TEL Tokyo Electron VIGUS RK2 Dielectric Etch 300 mm 31.07.2013 1 as is where is immediately
103528 TEL Tokyo Electron EXPEDIUS DUMMY CLN 300 mm 1 as is where is
103557 TEL Tokyo Electron ALPHA 303I K type / Nitride 300 mm 1 as is where is
103558 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 1 as is where is
103560 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
103561 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
103562 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103564 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103565 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103566 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103567 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103568 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103569 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103570 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103571 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103572 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103573 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103574 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103575 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103576 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103577 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103578 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103579 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103580 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103581 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
103582 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
103597 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103598 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103599 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103600 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103601 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103602 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103603 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103604 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103605 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103606 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103611 TEL Tokyo Electron INDY ALD High-K 300 mm 1 as is where is
103612 TEL Tokyo Electron INDY ALD High-K 300 mm 31.05.2011 1 as is where is
103613 TEL Tokyo Electron INDY Doped Poly 300 mm 1 as is where is
103614 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 31.05.2014 1 as is where is
103615 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
103616 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
103617 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
103622 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2006 1 as is where is
103623 TEL Tokyo Electron LITHIUS SINGLE BLOCK (for NIKON S205) 300 mm 31.05.2006 1 as is where is
103624 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2004 1 as is where is
103626 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
103627 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
103629 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
103630 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
103631 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2005 1 as is where is
103632 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
103634 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
106416 TEL TOKYO ELECTRON INDY-PLUS-B-M VERTICAL CVD FURNACE 300 mm 01.07.2010 1 as is where is immediately
106744 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
106745 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
106746 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
106747 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
106748 TEL TOKYO ELECTRON LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2005 1 as is where is
106749 TEL TOKYO ELECTRON LITHIUS i+ High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
106750 TEL TOKYO ELECTRON TEL TRIAS CVD Cluster tool 300 mm 1 as is where is
106751 TEL TOKYO ELECTRON TEL TRIAS 200 SPA CVD Cluster tool 300 mm 1 as is where is
108002 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, DRY OXIDE 200 mm 1 as is where is immediately
108003 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, TEOS PROCESS 200 mm 1 as is where is immediately
108004 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, PYRO PROCESS 200 mm 1 as is where is immediately
108005 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, POCL3 PROCESS 200 mm 1 as is where is immediately
108036 TEL Tokyo Electron INDY PLUS BCD POLY 300 mm 01.07.2010 1 as is where is
108106 TEL Tokyo Electron Alpha-303i-H Vertical Furnace, MTO 300 mm 1 as is where is
108107 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, DCS MTO 300 mm 1 as is where is
108108 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, MTO 300 mm 1 as is where is
108109 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108110 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108111 TEL Tokyo Electron Indy-A-L Vertical Furnace, LPRO 300 mm 1 as is where is
108112 TEL Tokyo Electron Indy-B-L Vertical Furnace 300 mm 1 as is where is
108113 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108114 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108115 TEL Tokyo Electron Indy-B-L Vertical Furnace, DCS Nitride 300 mm 1 as is where is
108116 TEL Tokyo Electron Indy-I-L Vertical Furnace 300 mm 1 as is where is
108117 TEL Tokyo Electron Indy-I-L Vertical Furnace, LT ALD SIN 300 mm 1 as is where is
108118 TEL Tokyo Electron Interface module For Mark8 photoresist coater and developer spares 01.12.1995 1 as is where is immediately
108119 TEL Tokyo Electron LU-8209 Auto refill system for TEL ALPHA-8S TEOS spares 1 as is where is
108120 TEL Tokyo Electron TE8500 Dry Etch 200 mm 1 as is where is
108126 TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace spares 1 as is where is
108207 TEL Tokyo Electron VIGUS_NEST Dry ETCHing System 300 mm 01.06.2007 1 as is where is
108208 TEL Tokyo Electron VIGUS_PX Dry Etcher 300 mm 01.06.2007 1 as is where is
108209 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
108210 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
108211 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
108212 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
108500 TEL Tokyo Electron Expedius Batch Wafer Processing 300 mm 3 as is where is
108505 TEL Tokyo Electron Tactras RLSA Poly Polysilicon Etch 300 mm 1 as is where is
108506 TEL Tokyo Electron Tactras Vesta Polysilicon Etch 300 mm 6 as is where is
108507 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
108508 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
108510 TEL Tokyo Electron Tactras Vigus Dielectric Etch 300 mm 1 as is where is
108511 TEL Tokyo Electron Tactras Vigus - Chamber Only Dielectric Etch 300 mm 1 as is where is
108513 TEL Tokyo Electron Tactras Vigus RK5 Dielectric Etch 300 mm 1 as is where is
108516 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108517 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108523 TEL Tokyo Electron TELINDY Plus IRAD Oxide Vertical Furnace 300 mm 1 as is where is
108525 TEL Tokyo Electron TELINDY Plus process TBD Vertical Furnace 300 mm 1 as is where is
108527 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 300 mm 4 as is where is
108536 TEL Tokyo Electron Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition) 300 mm 1 as is where is
108537 TEL Tokyo Electron Unity Me 85 DRM Dielectric Etch 200 MM 01.06.2013 1 as is where is immediately
108538 TEL Tokyo Electron Unity Me 85 SCCM Dielectric Etch 200 MM 1 as is where is
108599 TEL TOKYO ELECTRON P12XLM Prober 300 mm 01.05.2006 1 inquire immediately
108600 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2004 1 as is where is immediately
108601 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
108602 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
108603 TEL Tokyo Electron P12XLM Prober 300 mm 01.05.2006 1 as is where is immediately
108604 TEL TOKYO ELECTRON Precio Nano FULLY AUTOMATED PROBER 300 mm 01.06.2012 1 as is where is immediately
108605 TEL Tokyo Electron ACT 8 Photoresist coater and developer track with 3C and 3D 200 mm 01.09.2001 1 as is where is immediately
108606 TEL Tokyo Electron I/F Block (Mark8 - i11D) Track interface block for Nikon i11D 200 mm 01.05.1997 1 as is where is
108614 TEL Tokyo Electron P8XL Fully Automated Prober 200 mm 01.06.2001 1 as is where is immediately
108695 TEL Tokyo Electron TRIAS High K Metal CVD and ALD system, NiOx, HfOx process 300 MM 01.06.2012 1 inquire
108707 TEL Tokyo Electron Tactras RLSA (Chamber) Polysilicon Dry Etch Chamber 300 MM 01.06.2012 1 inquire
108929 TEL TOKYO ELECTRON Alpha 8 SZ Vertical Furnace, Fast Thermal Oxidation process 200 mm 01.06.2001 2 as is where is immediately
108936 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.04.2004 1 as is where is
108937 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.06.2007 1 as is where is
108966 TEL Tokyo Electron ACT 12 DOUBLE BLOCK TRACK with 3 PCT, 1 COT, 4 DEV, R to L 200 mm 01.06.2018 1 inquire immediately
109027 TEL Tokyo Electron Alpha 8S-Z (AP) Vertical Furnace Oxide Process 200 mm 01.08.1999 1 as is where is immediately
109093 TEL Tokyo Electron Expedius Acid Wet bench 300 mm 01.10.2006 1 as is where is immediately
109118 TEL TOKYO ELECTRON Trias CVD 300 mm 01.06.2010 14 as is where is immediately
109236 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109237 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109238 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109239 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109240 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109241 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109242 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
109243 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
109244 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109245 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 300mm 1 as is where is
109246 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Coat only Track 300mm 1 as is where is
109247 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109248 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109249 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Pro Z Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109250 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109251 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109252 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109253 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109254 TEL TOKYO ELECTRON Expedius Batch Wafer Processing 300mm 1 as is where is
109255 TEL TOKYO ELECTRON Expedius+ Batch Wafer Processing 300mm 1 as is where is
109256 TEL TOKYO ELECTRON Tactras DRM3 Dielectric Etch 300mm 1 as is where is
109257 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
109258 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
109259 TEL TOKYO ELECTRON TELFORMULA ALD High-K Vertical Furnace 300mm 1 as is where is
109260 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
109261 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
109262 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
109263 TEL TOKYO ELECTRON TELFORMULA Oxide Vertical Furnace 300mm 1 as is where is
109264 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
109265 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
109266 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
109267 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
109268 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
109269 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109270 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109271 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109272 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
109273 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
109274 TEL TOKYO ELECTRON Telius SP-305 SCCM Dielectric Etch 300mm 1 as is where is
109275 TEL TOKYO ELECTRON Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm 1 as is where is
109526 TEL TOKYO ELECTRON ACT12 (4C4D) PHOTORESIST COATER AND DEVELOPER TRACK 300mm 01.06.2010 1 as is where is
109548 TEL Tokyo Electron P12XL Automatic Prober 300 mm 01.06.2005 63 as is where is immediately
109565 TEL Tokyo Electron Unity SCCM Shin Oxide Etcher with qty 3 chambers 300 mm 01.05.2005 1 as is where is immediately
110638 TEL Tokyo Electron Mark8 Lithography Coater and Developer with 2c, 2d 150 mm 1 as is where is
110639 TEL Tokyo Electron P-12XL Prober 300 mm 1 as is where is
110640 TEL Tokyo Electron P-12XL prober 300 mm 1 as is where is
110641 TEL Tokyo Electron Telius Dry Etcher 300 mm 1 as is where is
110642 TEL Tokyo Electron Telius SP-Vesta Dry Etcher 300 mm 1 as is where is
110643 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110644 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110645 TEL Tokyo Electron UNITY2e-855DD Dry Etcher 200 mm 1 as is where is
110646 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
110647 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
110648 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
110649 TEL Tokyo Electron Unity2e-855II IEM Dry Etcher 200 mm 1 as is where is
110650 TEL Tokyo Electron Unity2e-855PP DP Dry Etcher 200 mm 1 as is where is
110651 TEL Tokyo Electron Unity2e-855SS Dry Etcher 200 mm 1 as is where is
110652 TEL Tokyo Electron Unity2e-85DPA Dry Etcher 200 mm 1 as is where is
110653 TEL Tokyo Electron Unity2E-85IEM Dry Etcher 200 mm 1 as is where is
110654 TEL Tokyo Electron Unity2e-85TPATC Dry Etcher 200 mm 1 as is where is
110655 TEL Tokyo Electron UW300Z Wet 300 mm 1 as is where is
110656 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
110657 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
110666 TEL Tokyo Electron Interface module For Mark7 photoresist coater and developer spares 01.07.1997 1 as is where is immediately
110693 TEL TOKYO ELECTRON Mark 8 Polyimide Cure Track 200 mm 01.06.2009 1 as is where is immediately
110698 TEL TOKYO ELECTRON Mark 5 Photoresist Track 150 mm 01.06.1991 1 as is where is immediately
110752 TEL Tokyo Electron ACT 12 Double Block DUV Photoresist Coater and Developer Track 300 mm 01.01.2009 1 as is where is immediately
83553 TEMPTRONIC TP22-2 TEMPERATURE TEMP SET TP22-2 01.12.1986 1 as is where is immediately
83829 TEMPTRONIC THERMO SPOT THERMO SPOT HEATING HEAD SPARES 1 as is where is immediately
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW AC 100-120 V 01.11.1995 1 as is where is immediately
54232 Teradyne J994 Memory Tester test 01.05.2000 1 as is where is immediately
78168 Teradyne 950-656-00 rev B PCB from test system Spares 01.06.2000 1 as is where is immediately
78361 Teradyne J971SP (Spares) Boards from VLSI test system Test 01.01.1997 1 as is where is immediately
80215 Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 Spares 2 as is where is immediately
80216 Teradyne 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720 Spares 1 as is where is immediately
80217 Teradyne 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208 Spares 1 as is where is immediately
80218 Teradyne 950-541-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208 Spares 1 as is where is immediately
80219 Teradyne 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517 Spares 2 as is where is immediately
80220 Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX Spares 1 as is where is immediately
80221 Teradyne 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 Spares 1 as is where is immediately
80222 Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 1 as is where is immediately
80223 Teradyne 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 Spares 2 as is where is immediately
80224 Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 Spares 2 as is where is immediately
80225 Teradyne 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328 Spares 1 as is where is immediately
80226 Teradyne 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 Spares 1 as is where is immediately
80321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 1 as is where is immediately
80322 Teradyne 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517 1 as is where is immediately
80323 Teradyne 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx Spares 1 as is where is immediately
80324 Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A Spares 1 as is where is immediately
80325 Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 2 as is where is immediately
80327 Teradyne 950-558-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509 Spares 1 as is where is immediately
80328 Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 1 as is where is immediately
80329 Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 Spares 1 as is where is immediately
80330 Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 1 as is where is immediately
80331 Teradyne 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 Spares 1 as is where is immediately
80332 Teradyne 950-217-04 Teradyne J971 PCB, 1 as is where is immediately
81836 Teradyne 961-129-01 Teradyne J971 test system power control panel 01.04.1997 1 as is where is immediately
82177 Teradyne 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester Spares 01.06.1997 4 as is where is immediately
82231 Teradyne 961-061-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
82232 Teradyne 961-128-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
82925 Teradyne 405-155-00 Power-One International Series DC Switching Power Supply, I/P 230VAC/23A, O/P 3.3V/35A / 28V/8.6A / 28V/8.6A / 15V/16A / 15V/16A Spares 01.10.1997 1 as is where is immediately
83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC Spares 01.06.1997 1 as is where is immediately
83561 Teradyne 405-167-00 Power-One International Series Switching DC Power Supply SPM2E1E1S304 500W I/P 115-230v 12-7A O/P 28VDC 8.6A / 28VDC 8.6A Spares 01.06.1998 1 as is where is immediately
83566 Teradyne 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233) Spares 01.06.1997 2 as is where is immediately
84840 Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E 1 as is where is immediately
108607 TERADYNE IP750EX AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing TEST 01.06.2012 1 as is where is immediately
108912 Teradyne UltraFLEX Automated Test System TEST 01.05.2011 1 as is where is immediately
108987 TERADYNE 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108988 TERADYNE 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108990 TERADYNE 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 01.06.1997 1 immediately
108991 TERADYNE 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108992 TERADYNE 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108994 TERADYNE 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
109006 TERADYNE 517-400-01 APMU Board for J750 tester SPARES 1 inquire immediately
108608 TES CHALLENGER_ST Plasma-Enhanced CVD system 300 mm 01.05.2010 1 as is where is immediately
80266 TESCOM 150 REGULATORS PRESSURE 3 as is where is immediately
70299 Texwipe 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE) SPARES 3 as is where is immediately
107956 Thamway A161 RF Generator Spares 1 as is where is immediately
110549 Thamway A161 RF Generator SPARES 1 as is where is immediately
91569 Thermo Fisher ECO 1000 FTIR System 200 mm 01.05.2000 1 as is where is immediately
108609 THERMONICS T-2500SEA Precision Temperature Forcing System 300 mm 01.05.2011 1 as is where is immediately
70303 THK LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200 SPARES 2 as is where is immediately
84243 THK 280L Linear Bearing and guide 1 as is where is immediately
84245 THK LWHS15 LINEAR WAY WITH SINGLE BEARING Spares 3 as is where is immediately
84246 THK RSR 15 LINEAR WAY WITH 7 BEARINGS Spares 1 as is where is immediately
84247 THK A6F 598 LINEAR WAY WITH SINGLE BEARING Spares 2 as is where is immediately
84248 THK HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84249 THK ATHI240 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84250 THK Y8A31 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84251 THK A6 C II LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84252 THK 689 LINEAR WAY WITHOUT BEARING Spares 1 as is where is immediately
84253 THK RSR12VM LINEAR WAY WITH 2 BEARINGS Spares 1 as is where is immediately
84257 THK KS 3J22 RELIANCE BEARING 1 as is where is immediately
83877 THK CO.,LCD OR17 BLOCK SR-2V 5 as is where is immediately
83878 THK CO.,LCD SC35uu LM CASE UNIT 2 as is where is immediately
83879 THK CO.,LCD FBA 5 FLAT BALL 2 as is where is immediately
83827 TOKIMEC VA12134A DIRECTIONAL CONTROL VALVE 1 as is where is immediately
83828 TOKIMEC 012-7 DIRECTIONAL CONTROL VALVE 2 as is where is immediately
83831 TOKYO ELECRON 015 RELAY SPARES 1 as is where is immediately
83833 TOKYO ELECRON 011 SUPPORT.PCB..SQ-80 SPARES 1 as is where is immediately
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER SPARES 1 as is where is immediately
108039 Tokyo Electron Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
83640 TOKYO ELECTRON / CONTEC FC-SD70 flow meter FLOW CHECKER 1 as is where is immediately
108777 TOWA CC-S Injection Molding Press, 2ea Available 01.01.2000 1 as is where is immediately
84370 Tylan MDVX-015 Throttle Valve, KF40, with vexta motor driver Spares 1 as is where is immediately
103807 Ultratech Titan II Stepper 150 mm 1 inquire immediately
106553 Ultratech 4700 Stepper 150 mm/200 mm 01.06.1996 1 as is where is immediately
106554 Ultratech 6700 Stepper 150 mm/200 mm 1 as is where is immediately
106557 Ultron UH108 Ultron Tabletop Laminator 150 mm/200 mm 01.06.2005 1 as is where is immediately
106559 Ultron UHI08-12 Ultron Tabletop Laminator 200 mm / 300 MM 1 as is where is immediately
106567 Ulvac FRE200E XeF2 Etching System 01.06.2018 1 as is where is immediately
108903 Ulvac Ceraus ZX-1000 PVD 200 MM 01.05.1996 1 as is where is immediately
108913 Ulvac Ceraus ZX-1000 PVD Cluster tool 200 MM 01.05.1996 1 as is where is immediately
108914 Ulvac Ceraus ZX-1000 PVD 200 MM 01.05.1995 1 as is where is immediately
108915 Ulvac Ceraus ZX-1000 PVD Cluster tool 200 MM 01.05.2000 1 as is where is immediately
108947 Ulvac Entron-EX W300 PVD (Physical Vapor Deposition) cluster tool, 3 chamber 300 mm 01.06.2011 1 as is where is immediately
108948 Ulvac Entron-EX W300 PVD (Physical Vapor Deposition) cluster tool, 3 x PVD chambers+ support chambers 300 mm 01.06.2006 1 as is where is immediately
108610 UNAXIS SLR-720 RIE 150 mm 1 as is where is immediately
102642 Unaxis/Balzers ZH620 Alumina Fill Fill Sputter Deposition System 125 mm 01.05.2000 1 as is where is immediately
84078 UNIPHASE 1103P-0187 HE NE Laser Spares 31.12.1994 1 as is where is immediately
87615 United Detector Technology, Inc. 40X Laser Power Meter Test 31.05.1992 1 as is where is immediately
72133 Varian E17015570 SCANACT,COUNTER WEIGHT Spares 2 as is where is immediately
72134 Varian E11002430 WAFER COOLING CONTROLLER Spares 2 as is where is immediately
72136 Varian E17064301 BEAM SHIELD Spares 1 as is where is immediately
72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV Spares 2 as is where is immediately
72140 Varian E11001320 REV B TARGET, FOCUS, FARADAY Spares 31.10.1995 1 as is where is immediately
72141 Varian E11002183 PEDESTAL ASSY,MULTI 150/200MM Spares 1 as is where is immediately
72143 Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN Spares 1 as is where is immediately
72144 Varian E17026680 PLATE,GROUND,GRAPHSCAN Spares 1 as is where is immediately
72145 Varian E17101600 COVER,DUAL VAPORIZER Spares 1 as is where is immediately
72146 Varian BEAM SHIELD Spares 1 as is where is immediately
72147 Varian VARIAN GRAPHITES Spares 3 as is where is immediately
72148 Varian SOURCE COVER Spares 1 as is where is immediately
72149 Varian MKS HPS VALVE 62161 Spares 1 as is where is immediately
72150 Varian ISOLATION VALVE PARTS Spares 1 as is where is immediately
72151 Varian various VARIAN SOURCE PARTS Spares 5 as is where is immediately
72152 Varian MKS HPS VALVE 69542 Spares 1 as is where is immediately
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
92387 Varian E11030450 REV 3 VERT SCAN ACTUATOR Spares 31.05.1995 2 as is where is immediately
92468 Varian E11040440 Rev 7 Secondary workstation for implanter Spares 01.07.1995 1 as is where is immediately
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
99404 Varian 350D (Spares) Implanter (Spare Parts) spares 1 as is where is immediately
109584 Varian Vista PLAD EFEM Unit Only 300 mm 01.07.2006 1 as is where is immediately
110658 Varian 3190 Sputtering System 100 mm 1 as is where is immediately
110738 VARIAN VIISION 200 Plus High Current Implanter 150 MM 01.06.1997 1 as is where is immediately
110763 VARIAN EHPi500 Medium Current Ion Implanter 200 mm 1 as is where is immediately
102593 Various Vacuum Valves Various Vacuum valves (MKS, Varian, Fuji Seiki) and fittings SPARES 10 as is where is immediately
77210 various MFCs MFC LOT Qualiflow, Brooks 5850 Cracked/broken MFCs for refurb. spares 01.01.2009 1 as is where is immediately
80175 VAT PM-5 Adaptive Pressure controller spares 01.01.2012 1 as is where is immediately
84408 VAT 14046-PE44-1016 Gate Valve 8" Spares 1 as is where is immediately
101025 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101026 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101027 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
106007 VEECO E475 Turbodisc MOCVD System configured for AsP use 100 mm 01.09.2010 1 as is where is immediately
107011 Veeco Dektak 200 Si Contact Profilometer - for spares use (Not operational condition) 150 mm 01.06.2000 1 as is where is immediately
108331 Veeco Dimension 7000 Atomic Force Microscope (AFM) 200mm 1 as is where is immediately
108916 Veeco Dimension X3D Model 340 Atomic Force Microscope 300 MM 01.05.2006 1 as is where is immediately
109116 Veeco Vx340 Dimension Atomic Force Proflier 300 mm 01.06.2010 1 as is where is immediately
106563 Veeco Wyko NT3300 3D Bump Height (Veeco) 150 mm/200 mm 1 as is where is immediately
83907 VERIFLO CORP. 42800147 PRESSURE VALVE 01.02.1987 1 as is where is immediately
103383 Vero Electronics 116-010069H Monovolt PK120 Power Supply, +5V 20A Spares 30.04.2001 4 as is where is immediately
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 100 mm 01.04.1986 2 as is where is immediately
107010 Verteq 1600-34 Spin Rinse Dryer (Single Stack) 150 mm 01.03.1997 1 as is where is immediately
107028 Verteq 1600-34 Double Stack Spin Rinse Dryer 150 mm 01.03.1995 1 as is where is immediately
108973 Verteq Superclean 1600-55M Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
83826 VEXTA UPH569H-B 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
103382 Vexta BLD1024H Brushless DC Motor Driver, DC24V Spares 01.05.1995 1 as is where is immediately
108611 Vision Semicon VSP-88A(H) Oven 200 mm 01.05.2005 1 as is where is immediately
108612 Vision Semicon VSP-88H Oven 200 mm 01.05.2005 1 as is where is immediately
108613 Vision Semicon VSP-88H Oven 200 mm 01.05.2007 1 as is where is immediately
106755 VISTEC LWM9000 CD SEM for 65 nm mask measurement Reticle / 150 mm 01.06.2005 1 as is where is immediately
84233 Viton 43-2-131 O-ring seal, Roth & Rau Spares 1 as is where is immediately
111404 VOTSCH VC-4020 Temperature and Humidity Chamber Reliability 1 as is where is immediately
77171 WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC Spares 01.11.2006 1 as is where is immediately
77164 Wasco SV129-31W3A/2065 Vacuum pressure switch 75 Torr Spares 1 as is where is immediately
98475 Watkin Johnson UTC 800 Atmospheric wafer handling robot( WJ-999) 150-200mm 3 as is where is immediately
108981 Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB Spares 01.06.1994 1 as is where is immediately
77165 WATLOW DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW Spares 1 as is where is immediately
82219 WAVECREST DTS-2070C (-52) Credence Duo Wavecrest digital time controller spares 31.05.1999 2 as is where is immediately
105857 Weir HSS 100/5 Switching Power Supply Unit AC to DC Spares 31.05.1995 1 as is where is immediately
100712 Weiss VT 7012 S2 Temperature Shock Test Chamber 1 as is where is immediately
111403 Weiss WT3-340/70 Thermal Shock Chamber Reliability 1 as is where is immediately
109033 WEST BOND 10490 Convertible Bonder , Wedge to Ball Assembly 1 as is where is immediately
109034 WEST BOND 2416B Automatic Wedge Bonder Assembly 1 as is where is immediately
108783 WEST-BOND 7200A Manual Epoxy Die Bonder Assembly 1 as is all rebuilt immediately
84100 Wiha 368 3 SW Ball end screw driver 4 as is where is immediately
105863 WIKA 233.50.100 -1 BAR PRESSURE GAUGE G1/2A D1001/2" Spares 31.05.1997 1 as is where is immediately
93087 Woollam VUV-VASE (Gen II) Ellipsometer 300 MM 1 as is where is immediately
53033 Yamatake honeywell WLS302 switch spares 1 as is where is immediately
106835 Yaskawa XU RC350D-C31+ERCR-RS09-A003 Atmospheric wafer robot( DNS SU-3000) 300 mm 1 inquire immediately
106836 Yaskawa XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000) 300 mm 3 as is where is immediately
106837 Yaskawa XU RC350D-K01+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3100) 300 mm 1 as is where is immediately
106838 Yaskawa XU RCM9205 with ERCR-NS01-A003 controller atmospheric wafer robot for KLA 300 mm 1 as is where is immediately
106839 Yaskawa XU RCM9206 robot alone atmospheric wafer robot for KLA 300 mm 2 as is where is immediately
106840 Yaskawa XU RSM53E0 with XU-CM6180 controller Atmospheric wafer robot( Ebara Frex 300 CMP) 30 0mm 4 as is where is immediately
102577 Yokogawa TS670 Tester TEST 3 inquire immediately
110739 Yushin WSS Demount Tool DM5 Fully Automatic Wafer demounter 200-300 mm 01.06.2011 1 as is where is immediately
110740 Yushin WSS8001D Fully Automatic Wafer demounter 200 MM 01.06.2006 1 as is where is immediately
83738 Zeiss Axiotron (spare Parts) Axiotron microscope spare parts 1 as is where is immediately
83861 Zeiss 910137 Microscope illumination transformer 1 as is where is immediately
83864 Zeiss 990634-5100 Joystick, Carl Zeiss 2 as is where is immediately
83865 Zeiss 477473-0207 Cover plate 5 as is where is immediately
83866 Zeiss 475690-0206 Joint plate 6 as is where is immediately
83939 Zeiss Stage part moveable x,y stage part 1 as is where is immediately
84077 Zeiss C35 35mm Camera with microscope attachments 1 as is where is immediately
84097 Zeiss AxioMAT screws AxioMAT Thumbscrews, spare parts 1 as is where is immediately
84101 Zeiss Turret Turret 4 position for Zeiss, Leica and others, good condition 1 as is where is immediately
84242 Zeiss corygon 2.8/60mm 2.8/60mm objective lens 1 as is where is immediately
102559 ZEISS Axiotron 300 AOI microscope with 2 units of Brooks load port 300 mm 1 as is where is immediately
108972 Zeiss Axiospect Wafer Inspection Microscope 300 mm 01.06.2011 1 as is where is immediately
110600 ZEISS Stemi 2000 Stereozoom Microscope Assembly 1 inquire immediately
71497 ZENITH ZPS-400 Multiple voltage power supply spares 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry