fabsurplus.com

Pre-owned and used Fab Equipment for sale by fabsurplus.com

Please find below a list of Used Fab Equipment for sale by fabsurplus.com .Click on any listed item of Fab Equipment to see further data.


SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
108721 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof FACILITIES 1 as is where is immediately
2669 Angelantoni T600 TU5 Large Clean-room Oven with internal blowers FACILITIES 01.07.1995 1 as is where is immediately
84765 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
91571 ASYST SPARTAN EFEM Wafer Sorter 300 mm 01.05.2010 1 as is where is immediately
95404 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
108729 AXCELIS 110000800 Universal 300mm Disk Cart MAT # 4501422 2 as is where is
106643 BROOKS SORTER MTX 2000 Wafer Handler 200 mm 1 as is where is
106644 BROOKS SORTER MTX 2000 Wafer Handler 200 mm 1 as is where is
108024 BROOKS MTX2000 WAFER SORTER 300 mm 01.06.2003 1 as is where is
108392 Brooks MTX2000 Wafer Sorter 300 mm 1 as is where is
109078 Brooks Gemini 2 Vacuum back end (VBE) with equipment front end module (EFEM) 200-300 mm 01.06.2015 1 as is where is immediately
109079 Brooks 139750-77 Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM 200-300 mm 01.06.2015 1 as is where is immediately
109080 Brooks 241251-0007 Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065 200 mm and 300 mm 01.06.2015 1 as is where is immediately
109160 Brooks MTX 4000 Wafer Sorter 300mm 1 as is where is
109161 Brooks MTX2000 Wafer Sorter 300mm 1 as is where is
109162 Brooks MTX2000 Wafer Sorter 300mm 1 as is where is
110790 Cadence Protium S1 Hardware Emulation System 1 as is where is immediately
108741 Contamination Control Desiccator boxes, 10ea Available Facilities 10 as is where is immediately
108742 CR TECHNOLOGY UF160-0 Xray System 1 as is where is
54562 CTI cryogenics CRYOTORR-100 Cryopump PUMP 31.05.1997 1 as is where is immediately
109081 CTI Cryogenics 9600 CRYOGENIC COMPRESSOR Facilities 01.06.2015 1 as is where is immediately
106466 ECI QL-10-EX PLATING BATH ANALYSER Facilities 1 as is where is immediately
83513 Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet Facilities 01.07.2010 1 as is where is immediately
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer Facilities 31.05.2004 1 as is where is immediately
108748 FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station 150 mm 1 as is where is immediately
52339 Hitachi 545-5521 EVAC PCB FOR HITACHI CD-SEM spares 31.05.1994 1 as is where is immediately
77264 HOLADAY HI-1801 MICROWAVE SURVEY METER Laboratory 2 as is where is immediately
84552 JSC Systems 510 Controller spares 1 as is where is immediately
108755 K&S 9388 Laser Pro Automatic Ball Attach System 1 as is where is
106916 Karl Suss Micro Tec PA200 Wafer Prober Station 01.06.2006 1 as is where is immediately
33542 Liebherr FKV 3610 Fridge for the safe storage of photoresist facilities 1 as is where is immediately
108282 Longhill LH800 Wafer transfer machine 200 mm 01.06.2007 1 as is where is immediately
108843 LOOMIS LCD 2P Wafer Scriber with Breaker Option 1 inquire
98730 LOTUS Spray Cleaner WET Clean for parts Facilities 01.10.2007 1 as is where is immediately
108844 MACTRONIX UKA-625 Eureka III Jr. Manual Wafer Transfer 1 inquire
108845 MACTRONIX UKA-825 Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers 200 MM 1 inquire
108284 Mechatronic mWS200/300t Wafer sorter with 4 load ports 300 mm 01.06.2012 1 as is where is immediately
110789 Mentor Graphics Veloce 2 Quattro Hardware Emulation System 1 as is where is immediately
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 200 mm , 150 mm, 125 mm 01.05.2000 1 as is where is immediately
106495 Milara Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer 150 mm/200 mm 1 as is where is
106496 Milara Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer 150 mm/200 mm 1 as is where is
77936 Muegge MX4000D-110LL Microwave Power Supply 3 as is where is immediately
79968 Muegge MW2009D-260ED Magnetron Head 2.45GHZ 01.12.2001 2 as is where is immediately
82230 Muegge Controller Controller, CAN controller, L/R sync 01.12.2001 1 as is where is immediately
31246 PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking facilities 01.10.1999 1 as is where is immediately
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 200 mm 31.05.2005 1 as is where is immediately
108787 RECIF IDLW8R Wafer ID Reader - Tabletop for 200mm Wafers 200 mm 2 as is where is immediately
108788 RECIF SPP8 Wafer Transfer for 200mm Wafers 200 MM 1 as is where is immediately
109119 Rectif Sort Batch Flip AHMS Sorter 300 mm 01.06.2010 14 as is where is immediately
110761 RECTIF G3 Wafer Sorter 300 mm 2 as is where is immediately
110792 RECTIF SRT300F01 Wafer Sorter with 4 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
110794 RECTIF SRT300F34 Wafer Sorter with 2 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
91574 RORZE RSC242 Wafer Sorter / 4Foup type 300 mm 31.05.2002 1 as is where is immediately
91575 RORZE RSC242 Wafer Sorter / 4Foup type 300 mm 31.05.2003 1 as is where is immediately
103552 RORZE RASS300F Wafer Sorter / 4Foup type 300 mm 31.05.2006 1 as is where is
106830 Rorze Wafer sorter with RR717L1521 robot wafer sorter for 300mm wafer 300mm 3 inquire immediately
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
106946 Semitool ST-921R-AA Spin Rinse Dryer 100 mm 2 as is where is immediately
108974 Semitool PSC 101 Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
108206 SJ SEMITECH Liquid Horning Liquid Horning 1 as is where is
108777 TOWA CC-S Injection Molding Press, 2ea Available 01.01.2000 1 as is where is immediately
108780 VARIAN 936-70 SP Helium Leak Detector 1 as is where is
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 100 mm 01.04.1986 2 as is where is immediately
107010 Verteq 1600-34 Spin Rinse Dryer (Single Stack) 150 mm 01.03.1997 1 as is where is immediately
107028 Verteq 1600-34 Double Stack Spin Rinse Dryer 150 mm 01.03.1995 1 as is where is immediately
108215 VERTEQ SUPERCLEAN 1600 Spin Rinser Dryer 1 as is where is
108973 Verteq Superclean 1600-55M Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
84100 Wiha 368 3 SW Ball end screw driver 4 as is where is immediately
83738 Zeiss Axiotron (spare Parts) Axiotron microscope spare parts 1 as is where is immediately
83861 Zeiss 910137 Microscope illumination transformer 1 as is where is immediately
83864 Zeiss 990634-5100 Joystick, Carl Zeiss 2 as is where is immediately
83865 Zeiss 477473-0207 Cover plate 5 as is where is immediately
83866 Zeiss 475690-0206 Joint plate 6 as is where is immediately
83939 Zeiss Stage part moveable x,y stage part 1 as is where is immediately
84097 Zeiss AxioMAT screws AxioMAT Thumbscrews, spare parts 1 as is where is immediately
84101 Zeiss Turret Turret 4 position for Zeiss, Leica and others, good condition 1 as is where is immediately
84242 Zeiss corygon 2.8/60mm 2.8/60mm objective lens 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry