fabsurplus.com

Used Semiconductor Equipment , Solar Cell Manufacturing equipment, Assembly Equipment, Test Equipment and SMT equipment owned by Fabsurplus.com

Please find below a list of used semiconductor manufacturing equipment , solar cell equipment, test equipment, assembly equipment and SMT equipment owned 100% by Fabsurplus.com. All equipment is available for immediate sale. It is located in Italy, Germany, Japan , Singapore and Texas at our worldwide network of storage facilities. It can be inspected by appointment. Click on the link to see detailed photos and technical information on these sales items. Most items can be offered either " as is" , "as is, operational" or refurbished.


SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
54859 35 MWp Baccini Solar Cell Print line for Mono or Poly Crystalline Solar Cells 156 mm 01.11.2005 1 as is where is immediately
98785 60 MWp Baccini Solar Cell Print Line for Mono or Poly Crystalline Solar Cells Solar 01.05.2008 1 as is where is immediately
54226 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 7 as is where is immediately
95398 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95399 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95400 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95401 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95402 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95403 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
98706 Adixen Alcatel ADS 602H Dry Vacuum pump combo Pump 01.05.2008 1 as is where is immediately
87652 Advantest T5371 Test system (With a single test head ) Test 1 as is where is immediately
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) Spares 01.05.2005 1 as is where is immediately
99379 ADVANTEST T5375 High-Speed Flash Memory tester TEST 1 as is where is immediately
99380 ADVANTEST T5771ES Automated Test Equipment for laboratory or office use TEST 1 as is where is immediately
99388 Advantest Versatest V4000 Automated Test Equipment for laboratory or office use TEST 01.06.2006 1 as is where is immediately
99389 Advantest Versatest V4000 Automated Test Equipment TEST 01.06.2006 1 as is where is immediately
99390 Advantest Versatest V4000 Automated Test Equipment TEST 01.06.2006 1 as is where is immediately
109022 Advantest Versatest V6000 Test Engineering Workstation for Office or Laboratory Use Test 01.05.2008 1 as is where is immediately
10544 Agilent / Verigy / Keysight 4261A LCR METER TEST 1 as is where is immediately
18868 Agilent / Verigy / Keysight 5335A Universal Frequency Counter Electronics Test and Measurement 1 as is where is immediately
18869 Agilent / Verigy / Keysight E4915A Crystal impedance LCR meter Electronics Test and Measurement 1 as is where is immediately
76605 Agilent / Verigy / Keysight 41501B SMU and Pulse Generator Expander 2 units TEST 01.05.2001 1 as is where is immediately
79588 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2007 1 as is where is immediately
79589 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2008 1 as is where is immediately
100700 Alphasem DB 608-PRL Die Sort System Assembly 01.09.1996 1 as is where is immediately
2669 Angelantoni T600 TU5 Large Clean-room Oven with internal blowers FACILITIES 01.07.1995 1 as is where is immediately
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers FACILITIES 01.05.1995 1 inquire immediately
34740 AP & S TwinStep-B H3P04 Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR 200 mm 01.10.2005 1 as is where is immediately
83514 Applied Materials Opal 7830i Enhanced CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers 100 mm to 200 mm 01.05.1997 1 as is where is immediately
96534 Applied Materials Mirra Mesa CMP system 200 mm 1 inquire
96537 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 01.05.1999 1 inquire
101768 Applied Materials 0010-00557 REV A Heat Exchanger FACILITIES 31.08.1988 1 as is where is immediately
106203 Applied Materials Mirra 3400 Stand-Alone Oxide/STI CMP 200 mm 01.06.1998 1 inquire
109063 Applied Materials Mirra Mesa Oxide CMP system, with SMIF 200 mm 1 inquire 1 month
109088 Applied Materials SEMVISION G3 Lite Defect Review SEM 300 mm 01.08.2007 1 as is where is 6 months
109112 Applied Materials Verity 2 CD MEASUREMENT SEM 200 mm 01.06.2004 1 immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
98443 ASM MS896 LED sorter 100mm 10 as is where is immediately
98444 ASM WS896 LED sorter 100mm 10 as is where is immediately
101816 Asyst Isoport Wafer load port 300 mm 20 as is where is immediately
101817 Asyst Versaport pod opener 2200 VPO SMIF loader 200 mm 15 as is where is immediately
102551 Asyst e-charger N2 charge 200mm 2 as is where is immediately
106803 Asyst Versaport 2200 with shuttle and back plate SMIF loader 200mm 6 as is where is immediately
98447 August 3DI-8000 wafer bump inspection 300 mm 1 as is where is immediately
98448 August NSX-95 2D Auto inspection system / Macro defect inspection system 200 mm 4 as is where is immediately
101818 August NSX-105 Wafer Bumping Inspection System / Macro defect inspection 200 mm 1 as is where is immediately
77009 Baccini Screen Printer 2 screen printer 156 mm 31.05.2001 1 as is where is immediately
77010 Baccini Screen Printer 3 screen printer 156 mm 31.10.2001 1 as is where is immediately
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 156 mm 31.05.2007 1 as is where is immediately
77017 Baccini Cell electrical tester Electrical Cell tester 156 mm 31.05.2006 1 as is where is immediately
77021 Baccini Dryer 1 Dryer 1 156 mm 31.10.2001 1 as is where is immediately
77022 Baccini Dryer 2 Dryer 1 156 mm 31.10.2001 1 as is where is immediately
98708 Baccini Furnace 1 Drying Furnace Solar 31.05.2008 1 as is where is immediately
98709 Baccini Furnace 2 Drying Furnace Solar 31.05.2008 1 as is where is
98710 Baccini Test 1 Solar Cell Inspection Solar 31.05.2008 1 as is where is immediately
98711 Baccini Test 2 Icos Solar Cell Inspection Solar 31.05.2008 1 as is where is immediately
98712 Baccini Test 3 Automatic Cell Sorter Solar 31.05.2008 1 as is where is immediately
98715 Baccini Printer 1 Screen Printer Solar 31.05.2008 1 as is where is immediately
98716 Baccini Printer 2 Screen Printer Solar 31.05.2008 1 as is where is immediately
98717 Baccini Printer 3 Screen Printer Solar 31.05.2008 1 as is where is immediately
100888 Baccini Furnace 3 Drying Furnace Solar 31.05.2008 1 as is where is immediately
103388 Baccini Oven 3 Unload Buffer FFF Unload buffer unit from Oven 3 and FFF loader Solar 31.05.2008 1 as is where is immediately
98718 Berger PSS10 Pulsed Solar Simulator Solar 31.05.2008 1 as is where is immediately
110597 Binder FDL 115 Safety Drying Chamber for solvent, RT up to +300°C, Volume inside 115 Liter Facilities 1 as is where is immediately
102553 Brooks DBM 2407 V2 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
109073 Brooks ABM 205 Robot Spares 1 as is where is immediately
110719 Brooks MTX2000/2 Wafer Sorter 300 MM 01.06.2001 1 as is where is immediately
98449 Brooks / PRI ABM 405 single arm atmospheric wafer handling robot 200/300mm 3 as is where is immediately
98453 Brooks / PRI DBM 2406 Dual arm Atmospheric wafer handling robot 200/300mm 4 as is where is immediately
98456 Brooks / PRI Mag 8 Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001 300 mm 1 as is where is immediately
98457 Brooks / PRI PRE 200/200B wafer pre-aligner 200 mm 3 as is where is immediately
102555 Brooks / PRI ESC-200/212/212B/222/218 PRI robot controller( single arm robot) 150/200/300 10 as is where is immediately
106105 Brooks / PRI ATM-207-2S-CE Single arm atmospheric wafer handling robot 200/300mm 01.03.2004 1 as is where is 2 weeks
106806 Brooks / PRI ABM 205 single arm atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106807 Brooks / PRI ABM/ATM 405 single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106808 Brooks / PRI ABM/ATM 407B single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106809 Brooks / PRI ATM 204/207 single arm atmospheric wafer handling robot 200/300mm 6 as is where is immediately
106810 Brooks / PRI ATM 305/307 single arm atmospheric wafer handling robot 200/300 mm 2 as is where is immediately
106812 Brooks / PRI DBM 2706 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106813 Brooks / PRI EDC-2400/2800 PRI robot controller(dual arm robot) 150/200/300 mm 5 as is where is immediately
106814 Brooks / PRI PRE 200/200B/201/201B wafer pre-aligner 200mm 2 as is where is immediately
106815 Brooks / PRI PRE 300/300B/301/301B wafer pre-aligner 300 mm 2 as is where is immediately
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 2 as is where is immediately
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer Solar 01.05.2001 1 as is where is immediately
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 156 mm 01.05.2003 1 as is where is immediately
98721 Centrotherm E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping Solar 01.05.2008 1 as is where is immediately
98722 Centrotherm E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD Up to 300 mm 01.05.2008 1 as is where is immediately
98725 Centrotherm Loader Furnace Loader Solar 31.05.2008 1 as is where is immediately
103801 Centrotherm DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) Solar 01.05.2008 1 as is where is immediately
80083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION Laboratory 01.06.2000 1 as is where is immediately
99395 Convac CBA-M-2000-U Photoresist coater 01.01.1995 1 as is where is immediately
87089 Credence Personal Kalos I Test system TEST 01.10.2002 1 as is where is immediately
110038 Daihen Daihen Match Match SPARES 1 as is where is immediately
110039 Daihen Daihen Match Match SPARES 1 as is where is immediately
110720 Datacon 2200 apm MultiChip Die Bonder 300 MM 01.06.2007 1 as is where is immediately
110594 Delvotec 4500/Siplace A2 Die Bonder Assembly 01.06.2006 1 as is all rebuilt immediately
110595 Delvotec 6200 Gold Ball Wire Bonder Assembly 01.06.2007 1 inquire immediately
111398 Delvotec 4500/Siplace A2 Die Bonder Assembly 01.06.2006 1 as is all rebuilt immediately
110599 Diener Tetra 30LF PC Plasma Surface Treatment Machine Assembly 01.05.2016 1 as is where is immediately
106816 Disco DAD 321 Automatic wafer dicing saw 150 mm 01.05.2001 1 as is where is immediately
93378 DNS WS820L Wet Bench (Porous Silicon Process) 200 mm 01.08.2011 1 as is where is immediately
106022 DNS SK 2000 BVPE COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS 200 mm 01.09.2003 1 as is where is immediately
106023 DNS SK 2000 BVPE Photoresist coater and developer - 2 ct - 2 bct - 4 dev 200 mm 01.03.2004 1 as is where is immediately
106817 DNS / Sokuda RF3S Photoresist coater and developer( 5C5D) track 300 mm 1 as is where is immediately
79394 Ebara A30W Vacuum Pump Pump 1 as is where is immediately
79395 Ebara A30W Vacuum Pump Pump 1 as is where is immediately
98460 Ebara Frex 300 Tungsten CMP tool 300 mm 1 as is where is immediately
98461 Ebara Frex 300 STI CMP ( missing front end robot and load port) 300 mm 1 as is where is immediately
110757 Ebara FREX 300 Tungsten CMP system 300 mm 2 as is where is immediately
110796 Ebara FREX 300 Tungsten CMP tool 300 mm 01.05.2005 2 as is where is immediately
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 3 as is where is immediately
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 1 as is where is immediately
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 1 as is where is immediately
54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump 31.05.2000 1 as is where is immediately
54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump 31.05.2000 1 as is where is immediately
54222 Edwards QDP80 Dry Vacuum Pump pump 31.05.2000 1 as is where is immediately
95559 Edwards iQDP40 Dry Mechanical Pump Pump 01.05.2000 1 as is where is immediately
106919 Edwards STP-A1303C TURBOMOLECULAR PUMP PUMP 01.06.2002 1 as is where is immediately
106972 Edwards QDP80 Drystar Dry Vacuum pump with power box pump 01.06.1995 1 as is where is immediately
106973 Edwards QDP80 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
106974 Edwards QDP40 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
106975 Edwards QDP80 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
69878 Edwards / Seiko Seiki STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 VACUUM PUMP 01.10.1999 1 as is where is immediately
78132 Electroglas Horizon 4085X Fully Automatic Prober with Optem microscope and an inker 125 mm, 150 mm and 200 mm 01.01.1998 1 as is where is immediately
99387 ELES ART 200 Debug Station for Reliability Test System RELIABILITY 1 as is where is immediately
110181 ENI MWH-100 Match SPARES 1 as is where is immediately
110182 ENI MWH-100 Match SPARES 1 as is where is
83513 Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet Facilities 01.07.2010 1 as is where is immediately
98462 EO TECHNICS CSM 3000 Chip Scale laser marker 200 mm/300 mm 01.06.2005 1 as is where is immediately
100704 ESEC 3018 Gold Ball Bonder Assembly 1 as is where is immediately
100705 ESEC 3088 Gold Ball Bonder Assembly 1 as is where is immediately
101819 EVG 820 Mold Carrier Lamination System 200 mm 1 as is where is immediately
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer Facilities 31.05.2004 1 as is where is immediately
110723 Four Dimensions CVMAP 3092-A Wafer CV mapper 100-200 MM 01.06.2005 1 as is where is immediately
98463 Genmark GB4/3L Atmospheric wafer handling robot with controller 200 mm 1 as is where is immediately
98464 Genmark GB8-MT-80050102 Single arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
76735 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76736 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76737 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76738 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76739 GL Automation IDSCOPE Wafer bar code reader 200 mm 31.08.2004 1 as is where is immediately
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 200 mm and packages 01.09.2001 1 as is where is immediately
110596 Hesse & Knipps BJ 820 Magazine to magazine transport system for wirebonder Assembly 01.05.2013 1 as is where is immediately
110616 HP / Agilent 4062 Automated Test equipment TEST 1 as is where is immediately
56141 Innolas ILS 700P Laser Edge Isolation 156 mm 01.11.2006 1 as is where is immediately
110724 Innolas C3000DPS Wafer Marking System 300 MM 01.06.2002 1 as is where is immediately
98465 IPEC 472 CMP Polishing system 150 MM / 200 mm 3 as is where is immediately
98466 IPEC 472 CMP 150 mm 2 as is where is immediately
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 156 mm and 125 mm 31.05.2003 1 as is where is immediately
98726 Jonas and Redmann SDB Automated Loader for Baccini Printing Line Solar 31.05.2008 1 as is where is immediately
98727 Jonas and Redmann WHD (Wafer Handling Diffusion) Automated Loader for Centrotherm E2000 Furnace Solar 01.05.2008 1 as is where is immediately
98728 Jonas and Redmann WHP (Wafer Handling Plasm) Automated Loader for Anti Reflection Coating System Solar 01.05.2008 1 as is where is immediately
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components Assembly 01.05.2010 1 as is where is immediately
99394 KARL SUSS MA 150 Mask aligner (For spares use) 150 mm 1 as is where is immediately
110609 Karl Suss MA200 Mask Aligner with CIC1000 lamp housing 200 mm 1 as is where is immediately
110611 KARL SUSS MJB-3 Mask Aligner 1 as is where is immediately
110612 KARL SUSS MA56 Mask Aligner 1 as is where is immediately
106897 Karl Suss Micro Tec MA200 Mask Aligner with CIC1000 lamp housing -suitable for spare use 200 mm 1 as is where is immediately
98468 Kawasaki 3NX540B-A302 atmospheric wafer robot( AMAT producer) 300 mm 1 as is where is immediately
98469 Kawasaki NS410B-A002 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is immediately
106798 Kawasaki 3NS411B-F006 Robot with cable and Controller Spares 01.06.2008 1 as is where is immediately
103208 Keller VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air Facilities 01.10.2011 1 as is where is immediately
106818 Kensington WFH4C wafer robot with aligner 150/200mm 1 as is where is immediately
106819 Kensington WFH4D wafer robot with aligner 150/200mm 1 as is where is immediately
71632 KLA-TENCOR 2122 Brightfield Wafer Defect Inspection System 200 mm 01.04.1996 1 as is where is immediately
106820 Lam Research Ontrak Synergy Post CMP cleaner with HEPA mini-environment 200 mm 1 as is where is immediately
15066 LAMBDA PHYSIK Novaline K2005 248 nm excimer laser for ASML /300 facilities 01.06.2002 1 as is where is immediately
111399 Leica M165C Reflected light and transmitted light microscope Assembly 1 as is where is immediately
33542 Liebherr FKV 3610 Fridge for the safe storage of photoresist facilities 1 as is where is immediately
98730 LOTUS Spray Cleaner WET Clean for parts Facilities 01.10.2007 1 as is where is immediately
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber Reliability 01.05.1993 1 as is where is immediately
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber Reliability 01.05.1993 1 as is where is immediately
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 150 mm 01.05.1995 1 inquire immediately
108284 Mechatronic mWS200/300t Wafer sorter with 4 load ports 300 mm 01.06.2012 1 as is where is immediately
98474 MECS OF 250 wafer pre-aligner(Hitachi CDSEM 8820/8840) 200mm 3 as is where is immediately
98476 MECS UTC 801P atmospheric wafer handling robot( WJ-1000) 200mm 2 as is where is immediately
98477 MECS UTX 1100 atmospheric wafer handling robot(ASM eagle-10) 200 mm 2 as is where is immediately
98478 MECS UTX 1200 Atmospheric wafer handling robot(ASM eagle-10) 200 mm 1 as is where is immediately
106821 MECS UTC 820Z atmospheric wafer handling robot( Hitachi CD-SEM) 200 mm 1 as is where is immediately
106822 MECS UTW-FS5500S Atmospheric wafer handling robot NA 1 as is where is immediately
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 200 mm , 150 mm, 125 mm 01.05.2000 1 as is where is immediately
79592 Minato Electronics 1940 EPROM Programmer with additional memory Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79593 Minato Electronics 1940 EPROM Programmer Electronics Test and Measurement 01.05.2005 1 as is where is immediately
98480 Nanometrics 8000X film thickness measurement 150 mm 2 as is where is immediately
98481 Nanometrics 8000Xse film thickness measurement 200 mm 2 as is where is immediately
98482 Nanometrics Caliper Mosaic (Parts) EFEM Module, including a Brooks Razor robot 300 mm 1 as is where is immediately
98483 Nanometrics Lynx EFEM EFEM including a Kawasaki robot 300 mm 1 as is where is immediately
106823 Nanometrics Nanospec 9100 Oxide film thickness measurement (PC missing) 200 mm 1 as is where is immediately
99382 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
99383 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
98484 Nikon ECLIPSE L150 Microscope 100/150mm 1 as is where is immediately
98485 Nikon Optiphot 150 Microscope Inspection Station 100/150mm 3 as is where is immediately
103456 Nikon NWL860-TBM Wafer Auto Loader 150 mm, 200 mm 1 as is where is immediately
110610 NIKON OptiStation 3 Wafer Inspection Microscope 200 mm 1 as is where is immediately
110791 Nikon OptiStation 3200 Fully Automated Wafer Inspection Microscope 300 mm 1 as is where is immediately
98486 Nitto MA 3000 II Wafer tape mounter and demounter 200 mm/300 mm 01.03.2007 1 as is where is immediately
103439 Oerlikon Clusterline 200 PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) 200 mm 01.05.2005 1 as is where is immediately
106825 Olympus AL110-LMB6 with MX51 wafer loader with Microscope 150mm 1 as is where is immediately
111400 Olympus KLA 1500 LCD Cold light source with ring light and Adapter ring for different microscope sizes Assembly 4 as is where is immediately
111401 Olympus Highlight 2100 Cold light source with ring light Assembly 1 as is where is immediately
111402 Olympus Highlight 2001 Cold light source with ring light Assembly 1 as is where is immediately
99398 Oxford Micro-etch 300 Dry Etcher 1 as is where is immediately
110613 PlasmaTherm SLR 740 Dual Chamber RIE / Plasma etch 200 mm 01.04.1999 1 as is where is immediately
31246 PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking facilities 01.10.1999 1 as is where is immediately
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 200 mm 01.05.2005 1 as is where is immediately
110733 POWATEC 850 Manual Wafer Mounter 01.06.2000 1 as is where is immediately
110734 Ramgraber SST Solvent wet with heater and spin dryer Up to 300 mm 01.06.2016 1 as is where is immediately
98489 Raytex RXW-0826SFIX-SMIF Wafer edge scanner 200 mm 1 as is where is immediately
110761 RECTIF G3 Wafer Sorter 300 mm 2 as is where is immediately
110792 RECTIF SRT300F01 Wafer Sorter with 4 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
110794 RECTIF SRT300F34 Wafer Sorter with 2 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
98731 Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation Solar 01.06.2008 1 as is where is immediately
98490 Rorze RR701L1521-3A3-111-2 Dual arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is immediately
106188 Rorze RR713L1521-3A3-E11-0 Dual arm Atmospheric wafer handling robot with controller Spares 1 as is where is immediately
106826 Rorze RV201 Load Port 300mm 10 inquire immediately
106827 Rorze RR701L1521-3A3-111-3 Dual arm Atmospheric wafer handling robot NA 1 as is where is immediately
106828 Rorze RR713L1521-3A3-E13(E11)-1 Dual arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
106829 Rorze RR717L1521 Dual arm Atmospheric wafer handling robot 300mm 1 as is where is immediately
106830 Rorze Wafer sorter with RR717L1521 robot wafer sorter for 300mm wafer 300mm 3 inquire immediately
106831 Rudolph MP200XCU Cu Film thickness measurement 200 mm 1 as is where is immediately
106832 Rudolph MP-300 Metal Film thickness measurement 300mm 1 as is where is immediately
106833 Rudolph MP300 XCu Cu Film thickness measurement 300 mm 2 as is where is immediately
110735 Rudolph MetaPulse 200 Metal film measurement system 150-200 mm 01.06.2006 1 as is where is immediately
110736 Rudolph MetaPulse 200X Cu Metal film measurement system 150-200 mm 01.06.2006 1 as is where is immediately
110795 Rudolph S300D Ultra II Thin Film Measurement Tool / Ellipsometer 300 mm 01.05.2005 1 as is where is immediately
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester SMT 01.05.2004 1 as is where is immediately
98495 SAMCO PD-3800 LED – pecvd system 50mm to 200mm 01.10.1997 2 as is where is immediately
98496 SAMCO RIE-212 IPC LED Reactive Ion Etcher 50/100mm 1 as is where is immediately
79889 Sanitas EG Multilevel EPROM Programmer Electronics Test and Measurement 1 as is where is immediately
86303 Sankei Giken TCW-12000 CV Process Module Chiller Facilities 01.07.1996 1 as is where is immediately
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
84342 Semitool ST-921R-AA Spin Rinse Dryer 1 as is where is immediately
84351 Semitool ST-240 Spin Rinse Dryer 1 as is where is immediately
106946 Semitool ST-921R-AA Spin Rinse Dryer 100 mm 2 as is where is immediately
110477 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110478 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110480 Seren I1827MWF Generator SPARES 1 as is where is immediately
110481 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
73208 Solitec 5110C Manually loading Photoresist Spin Coater 3 to 9 inch 01.09.1998 1 as is where is immediately
102623 SPTS Omega 201 Plasma Dry etcher (For spares use) 200 mm 01.05.2010 1 as is where is immediately
71904 ST Automation test head test head for Eprom U 1835 1 as is where is
71908 ST Automation PTM1 Flash Memory Tester Test 3 as is where is immediately
71910 ST Automation MT32SX Flash Memory Test System for 256 MB memory testing TEST 01.05.2008 1 as is where is immediately
78133 ST Automation QT200 Test System test 31.05.2007 1 as is where is immediately
78137 ST Automation QT200 Tester System with monitor test 1 as is where is immediately
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation test 31.03.2007 1 as is where is immediately
80177 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80178 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80179 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80180 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80181 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80182 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80183 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80184 ST Automation QT EPR16 DD Automated Flash Memory Tester System with monitor TEST 30.09.2007 1 as is where is immediately
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories TEST 30.11.2005 1 inquire immediately
99969 ST Automation QT200 Automated Tester System with monitor test 31.05.2005 1 as is where is immediately
101848 ST Automation MT32SX Automated Flash Memory Testing System test 31.05.2007 1 as is where is immediately
102494 ST Automation MT32SX Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY TEST 30.09.2007 1 as is where is immediately
98497 SUSS ACS200 Photoresist coater and developer track, 1C, 1 D 200 mm 1 as is where is immediately
108299 Suss MicroTec CB200 High Pressure Wafer Bonding Chamber 200 mm 01.06.2012 1 as is where is immediately
110737 Suss MicroTec Falcon Polyimide Photo-resist Developer Track, 2D 150-200 mm 01.06.1996 1 as is where is immediately
33413 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. TEST 01.05.2006 1 as is all rebuilt immediately
33414 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. test 01.05.2006 1 as is all rebuilt immediately
79888 System General T9600 Universal Device Programmer Electronics Test and Measurement 01.05.2003 1 as is where is immediately
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 30.11.2005 1 as is where is immediately
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 30.11.2005 1 as is where is immediately
106834 TDK load port E3, E4, E4A, F1 30 0mm 16 as is where is immediately
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope Electronics Test and Measurement 01.07.2007 1 as is where is immediately
79590 Tektronix TDS 544A Color 4 channel 500 MHz digitizing oscilloscope with probes, accessories etc. Electronics Test and Measurement 01.05.2006 1 as is where is immediately
79597 Tektronix PS 280 DC Power supply (Working condition) Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79599 Tektronix 11801C Digital Sampling Oscilloscope 50 GHz Electronics Test and Measurement 01.01.2001 1 as is where is immediately
79601 Tektronix 2432A Digital Oscilloscope,250 MS/s, 2 channel, with GPIB Electronics Test and Measurement 1 as is where is immediately
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 150 mm 01.11.1992 1 as is where is immediately
54232 Teradyne J994 Memory Tester test 01.05.2000 1 as is where is immediately
107956 Thamway A161 RF Generator Spares 1 as is where is immediately
110549 Thamway A161 RF Generator SPARES 1 as is where is immediately
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
99404 Varian 350D (Spares) Implanter (Spare Parts) spares 1 as is where is immediately
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 100 mm 01.04.1986 2 as is where is immediately
111404 VOTSCH VC-4020 Temperature and Humidity Chamber Reliability 1 as is where is immediately
98475 Watkin Johnson UTC 800 Atmospheric wafer handling robot( WJ-999) 150-200mm 3 as is where is immediately
111403 Weiss WT3-340/70 Thermal Shock Chamber Reliability 1 as is where is immediately
106835 Yaskawa XU RC350D-C31+ERCR-RS09-A003 Atmospheric wafer robot( DNS SU-3000) 300 mm 1 inquire immediately
106836 Yaskawa XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000) 300 mm 3 as is where is immediately
106837 Yaskawa XU RC350D-K01+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3100) 300 mm 1 as is where is immediately
106838 Yaskawa XU RCM9205 with ERCR-NS01-A003 controller atmospheric wafer robot for KLA 300 mm 1 as is where is immediately
106839 Yaskawa XU RCM9206 robot alone atmospheric wafer robot for KLA 300 mm 2 as is where is immediately
106840 Yaskawa XU RSM53E0 with XU-CM6180 controller Atmospheric wafer robot( Ebara Frex 300 CMP) 30 0mm 4 as is where is immediately
110739 Yushin WSS Demount Tool DM5 Fully Automatic Wafer demounter 200-300 mm 01.06.2011 1 as is where is immediately
110740 Yushin WSS8001D Fully Automatic Wafer demounter 200 MM 01.06.2006 1 as is where is immediately
102559 ZEISS Axiotron 300 AOI microscope with 2 units of Brooks load port 300 mm 1 as is where is immediately
110600 ZEISS Stemi 2000 Stereozoom Microscope Assembly 1 inquire immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry